From 2834007e0033c8fb761ea904d39f8cd04449e610 Mon Sep 17 00:00:00 2001 From: "monarco.io" Date: Wed, 31 Jan 2018 13:03:14 +0100 Subject: [PATCH] Added pinout for the Monarco HAT. --- draft/boards/monarco-hat.png | Bin 0 -> 103718 bytes draft/overlay/monarco-hat.md | 93 +++++++++++++++++++++++++++++++++++ 2 files changed, 93 insertions(+) create mode 100644 draft/boards/monarco-hat.png create mode 100644 draft/overlay/monarco-hat.md diff --git a/draft/boards/monarco-hat.png b/draft/boards/monarco-hat.png new file mode 100644 index 0000000000000000000000000000000000000000..e214279e00ab2f6b4cae1bed2393b3425bd142fd GIT binary patch literal 103718 zcmV)sK$yRYP)^df)du=iEK^-1n@ktbJd4@9FMo&Zg0jB1KZPXh@c10yGFqHlzo`4|*`*7s57R z6S8GPvLVTqEE9xCnv&_^aOk0j(=*dOy?0f0byap%R#om9k+DbIyQ_|KcD2_y1(jJNowqgC>K~0IMyj)@0hDixjCO&I(L1CNDHrNI(;) zh`i8*fx-(t1PbfGYK?Uop%fnAtRT%(l=N}dAf%ws4r3k8W%yFz`5w6et5cj1Sgr9? zK$aVD7SH!E#$cU90}_j~4giNk>m1+n0Wi*>oMN116xI>PAqs&p1t3u>#JCJ8B|?S> z9Ksn2Q{YD>vQYtABYc4bJmrCs2qBQtLl-&5IUIr@kQ9Z(8jEm(G|LGBiKk(hj=__J zen?P?K!dRbQi3ilR!D>sAi?*1l&_FNG8l|NO2R;)4G09n8KjlqK@ducLZFKr&kKk{ zh0zXeG}eL<4x}UrJ#3*Vazhj+WO;!S0;w#+bO;1^LK22Pd6DA~D9=ZUf=YQBZ7ieV z2yHZR65=bxpq~@QKGtcBbp&C6bQUZWPEkoh;>c&5XJlzXW(=N?C@Js*AFPM9DP9<1 z%ov=+I*A0d(HP?hBOfIdp0pHBfdPcYS0T<7IOnj=BC%*A@jOdb6qsUij(!y4$WTsz z43JWyoFmscfgceDeme@~Klr=9`H%n8|LX7l^}ixL`8$=`ypw)iI}u7h6lG&f>O?4% zP!@?2|6hUgzy8zzthZkrChbA4`n?{VexE$e$u(Ffa8{y}kCI@tog9p{07xW-G5CRp z6AGmS0+3Py79k~$$q(aVQ@}i0i!3O;u{56 za;wRWLHRzI0xTdD#u)#2>VPh#B{TecR2!V>~r7z!W=6-bG72H_M+DI^wUB|>@( zi}B=p3xThEj59baN_zOhCmW5(r9}#X5(4c6Xpmadn3kV?7EIL&Dej=(ZG zU!^d{;Ve|*n9%d+jk_2NQYj*(2t%K-2A6Au=aCj;lu!T&Pa=^x=0O|03fe z;L*_#?aGXEpR@=Vq>B0KGT*xO3XPd{?jLm-=88gxWZEaqJd~{RR#`zT1 zXOMZESvbvK{nDE(EN^h<$sSn|Vn~pFiBT??sm<|gKl2Tqzw|thn;mvrDb}xHOo+1~ z*7*GF?bmqY#>@0F!Q-P7lq#b|02FTKXIo@48vhZof-T!eNJM#j8!<#~SY zxi?Tjod-L|XjvvB;MBq?e)XIGF0+j#o?lyIbL}i2Z|{*2U_^p-5d{JB)64w&H@?Ml z=dbh0(_^}+AWo*q2uO9rD1}!qJ%jTW@BRvE?vUj+{{Rba%|M6!?6(W@Y>FAYvY|O6{*pLe|3!G6Yv)6Ak zKfQ_$LYy;T!CK9H7_%-;h#GU4E3Y!bO%e?TtqV$Fi5JQ_h4d*dzeID;1E~HO5Q)b|Fy~?~BGPAzH;f>cAxpiut zSR1O-Go%)>B1a-vpIthp}!l)wvc z4hmzKt;9sxh-#(GOV?iH;G~68AvjP{U`)>S^?5e@j2ExmK*$oFH)(@CPmpDnv$GXu zY>&$FJZ=3N<2*$uk0KjktsxF0mdXjS9dmPa88J>Nl}Z?6FwRkl%UqtTu@l;W6PuSYf>GYl(yI?k}g2^5C6 zKl(nyY>c&&HmK(Nw9lTQnm7i{6W)3BfPR+Ygv4c zWo9cSng=`l=*~wNA@S8@jd23+x$6{bYaI6vxO4Y?n!PSjQUWQ+)12LNuFjj zLXWz7p7zWXkB|3x>;0c#tpO=;&JsFDaQzB$Wrgm+Ha~p-Bl_bJxn+_qtmW$B0(HB{ zKri$D-B0<^))rwLfwf5Mc=7yMj_V0h$83N06Y7-)gG}?ociyI6tx=zv;&|^FO2Cb? zmw5B#H<_EA!YChWBvN@;z;_|18+A4}*7?bYOMLsS@6LSwasO{$eQ9?5-~6|~^_yRW zOe;oLe}}*Pj0hwMv3@lfk@Pn?*Q>Fd6kqz&ITPmQ$;z z5K{7F=MjPL)9SR@+1tTaK8y2<>>eNU^y%mHJ00?Vm$Y@r$-yCcW@(A|_SWdbF`hzY{pFSoCBJ6m~@!=uniy#_~GrGMF2L}geZK#D2?c-z8FDkAn4C6GX zNQdk-yO8G$PMTze##)IrmT_KSvXtHZJsL@c{ zYE0uy&a)>w7z>?oI+^#}1WcI^BLV@fc8k$q#M90Ykd&OEXCoSD%B6@$yZdZyZPD+K zF;1a;pY8o!-v7yuIXv8$upiE9fR_5)}ay9gC(FkiA02VfY@<;aCm0t6 zi{&zlrHJExhl8Ug_Z~kXwHE6P+6ro3z-pz!al6G)^ME^_f6o43h!h@$)ht#@Twhpb zH0*(r>^D2yJ8mOBM(`yqjWbTA-EN}P;RKG}Z4)BRnF z+)@Zdm|Kc|7pomdCp~(D5xd8SjItqVo>TGT2^yw+MjAT(jGg^`4%&wVpr<0tq9jH*l#}%O8ISi4Ic}a1MfD5gtg&Mu!~yVYed8gcc^W#;3UMm0eQMG|<-RqG7L zIlD(KL}8irJ#3yKy?|H=R_Zm>M_E@N|cAxxvcJ zJVpraY;W`K#~%?07-xnjJ4e)_kfkKRIDr!$0fNh`E9AN6>HZ@kQ5|=M}*_G zE0?L%8tfjlFi19LW-x`u^CCR!I5jg%RB2#?gkd4^3yCrlbkoCe`^-5?r3z9BK6|vy z;qeh!mg0=Tvzpavna-$3t~17I%GTCXx~V{V9!{#s`*@S~zTzaWz4|p8v$Gf{$n2!e zJ?;*9=V^z#M`NBH9#V}h)>?ee@TC`C;>_Y2t^J{wDK%SLNc?~J5B_N(0gAvoon}gn zhn6d+uClp)7SH!sSzF;rx8U0!_4xk#2Yhl=@cjoPKH2W_`BBD9qfQb>Of|~fxOfdY z!Kx9?p|!zTL!PDx>rt-M=nuz8=@CT{(ptvFn9-=u+2u1NagD*S&2X4fDhHUNzz7em zHES!I%uLNO9uLvhQY}}II{#^aEYGjdsLjyp^*Cv_Se$DRDuvb)I6DkOLOC#Qnj%pMU1rg1oCjCK=i|5Wz^#yreV2ov^ zTBa1ojCv!ETWzMM>MTuFusX+AKA{SjDo-;Wq{LCe+RAA{CCSqit1Y1jsn%hdb57FN)?LHQnwwKB_#(-rKsg;oRDq*RFjY5_dXZh0e&m(=Gxki~%6yqfok|ZXNeEd?#?dvaMypYo? z3pA=BI`9eO5Gz51idUY0fqJ>j`P1uElZ3HT1my$~d)NSG8*?b-BgjEXTo~acF)|3q zJy@KbVWwIokOkvGn?iU5Q9uwyIA5{6xCCB^=M2?QQb-RO`2Y|5DPY!XSsS|nyvOB2ZI5nC_s9Gi>vc2&&{zsS7&pvh7p1wiV;dOHimM& zOr<`>>dFeY&aW}dhImOx5{DcQ2PCyB8yjc2w0VXrr)D^4?jfTXp$JuNUf>Z0KDks>Dn1v_p6BNEn_RoF#!gvPrzEgiNXMcWHe6MZudCIB##e=I4w{r z70IP#VUg9vwfxuT=@XfNHJIij$syg|2@emOxJrdMi4dM-c6yqbq=X)|>9?CaIB1er>qMcC zl!7=;m`!44YE=e;QhNFaQEppks~_%RWNrRf^`2TytL=>hFDBlHDH zCE?xspWy~=mgY))eD^+YJ=`KFSMWTE7nRuFdqy$rvN~M_YxuO)L3jZQ@S=#19zSN- z+Gk~Mnx}_*+}l4vBngER)bxl>bC0$HRIw(fpBg?p9`Mnl4p&dl(?5R3<+E$_b;53^Pg+<`&)11Vk1#56|H&4rl*)(u z554=}d-UH;Dv+3vi={ATcBVnC>_ai);JCxmv}J1X6tBPjI+4^kshFN$BovP6dWkTJ zQJ%x<6zeo|Q`20%a+&MbuCuu?&EI(S8n0izN?Ta=w4+^XK)r?$h8H(C_>Egv_?atL zxqEoTM~4U8ALe9ng2gi5Xz(|#p5-mMcU-fK!4OH&5|5U%bu> zFTB8qtuF622Mps1o~KBnkl*;_U*Xrj@(O?H7k&XaDP zlV5$~2FctU`!>K-YWU%#UrwTsUwZB`zy6I^c=6TOIEZ4>Y85FZQVMdbxwWyvH#X~B zKD*8*hh6q^9~Fj3YpACuEY8jGwVUVp)vvrt9+zo_2}TJ7{)9Lb9$$OzMgIJYH)yP! z;=n4xxQsEDLR)<2xPAT{Z@%;*%ja&f)pOwaI3@72V}{v~OqKZROSicG+{^410uhF2 zYrvBzUn0Vguiw7PtCubkPA}ny5y}~q1Ydcy#v_&%W;kCDId}6*acaN%cbR6e(mdDrctS2C3v0!YYa9v#srIt-lv zKj6#PZxY8bDvt5R7m^(Ve&|y;!GQZS z!1ENIoFGIWKm3%fo~G}7p1-(BTq+?EpbbJevMi%D%-HRXIeYpHjoK7ScoWteP!2l7 z5!*vpSYBg&ePhCmYfCj7P#DLdNa*BX{E+F{8L$TBzhQ^Ys2q#14=az^=AFU1Lw2yL*XRbu4R>D(InVF_su41*pIY&0mKx^izbrz=@ zY%WYQ-)N9!8P0v7%#eb>3sIhrz_BQSOmKGKe z80IS_JOqU^gxQ!?Ib+Wg4L3T8+O?jRl+bLiONW+2#S=cAfj9@Gw5`1 z#^RjCWQOrzOuN;jREoh`hR26otcU#kSDvRfJ>>M^pM+1`CX_6>nWnqcqY|P1UK-E5Cu~McxPI=sFp^Qc#_~7w2 zbC)jBdHRf3Ui}gen*03V&OHzw#>+WA=uv*M&xVzh=E28a_`LhMZnp@q(8Cx(mK8iWY@9<8k+dR%e8-JPHI@LFzoDR5W{iwuTzr-vR5eI833*jHX_lCCv-o+i$WVBU;@) zLiuQ2PzW#>r1EemJitr=6Tv|W35H!1y%@*n@Eycy&j{rWgZ;2IMJ3! zXH?19r-Jr8rpqM`(h+8=&Q@nk%Wn{S5gLojGHg2JN$%r$ildV@XE!!5!eNX>g#lS} zOuu!4)j7r(_V$}p8Wql+UgxN@%W#3fBAt)lWJ4>^=`3JtXoao%RW{ zLClh;U^Jfa2L^t4e~W{oBRah{r)OrE(gk_*1Z5;874g}#UA7PQI6mnjoulR)-e`;| z3|PTocf|H#mz~2FhpiTCK|pCdqEV@{P@g78aPQe3&knn6HOH*YuTsu6<)nfs3_?im zJ>6#S=z#X1!-b_~N+zc$CKXT!L8sS8k8=v0vr=y`gF@$;yl|BKklYp=AGb(HIjb}C z%nC)x_wj-fu^*AADMv>;^hX0I9G5Ebgp&|4!U^&;qj_}1c#xv3q+v8RP0`lj%p@5M zIvujKhZ2ryAs{yt&H|3^a743th{OV zCz%zCpzcY;c*LiVAM@Q2*gC8|-(m0F2~#xzQWJl@-9 z|F}h{JWP=h7a6Oe&rGFG=s7<6e2Y$JKoTW5r6x%r2vAb+=+lom=?_?2nqp?QPB|&_ z&JW&UIuUHHFLQ2jit+IwpZw%)@;pT-pVOyKbLajYa$6vrAWR~D8bHno9AJE($GeaD z&L93h-9d&@iffw-Jii|E*(VQq`<>57k}~i8@NNF^5B`86AM-PB{tW%Wm>+)ckI8LL zCIkn~Lk?R_kdo%8APmYpdb)$L8fP4t*66_yWi>x~u+4+5$Na1B{2?EG_8EZ)Ia{k> zx?Pm9^s_OK50Ba2-KEp*Q;N&Xh7ozMO;)5>?btmy=A_>xEexkt*152-LIBLoGyuVq zCl4vIF^A12^9yr)>FO=AZii;CjVBd0&6%!F(M<)rdrd07q9hza;A5P{WMdL9;<(en z3B{lL(wB){LE*qSf$yLk`t0xSBcr9CcZki$`m>o=JWGj!USkffeMgg&xXW$VLt`0ls=kO$jMS|To;`lTryqU7qtCYZOTY9D+T9i(+Pb$OFt_zSzHB;62efiy1a@XL^SGkHqTz-+J%dNL3{8 zk0emM^um{zU*5!5ijB3?+`M`XUrAIL5r>M`UV4p%Zva zh3Q@k7Pi-~JuG_kaH}%FjSa+O07kz5kG({O~>A|M5FKdia3# z^<{qH=e~+HDZl;C{}I|#NXf)y@P!c3o9HeD!ih;XPyxpjL?6G!{_ZnWV}oL-D94)C zaLA8-@&W6omsvZt#NP1%|LR}<4!uWr(Q!z!u!Kcmu_#{=1Oa~NF*7yAsZ(beWn(T~ zx=iE;{P@oMG^)@xf~Z#E#_AebX1R9xJo&JVs6-^SG8TnWl8yB<46I~*egTmU2^&)s zzG8FZ6dq77HCUX@s87w%8@36mW%|w#D$A+Ov)np=m7#X5Ew2(uaB)B~Q^OfZRl)k) zG)Je-68b(1b9D-TvL=o~dO)LIkfv9huR2y*F&1I4*BR|!Lp<(h&- zQk$tWwvwSABJn6EH5L};xwyH??#S1s7&aZKbd)11^EfN#I`Tkf5cCU@WOkf$1F1m#|b z<$R0|0*11JQZeJqpp=g!MUOiiK7NRok1#W{^y+i?VGL5>D@787y!q;z{NTsm=jQw@ z#|JG2MGxf+k&t|N++x8Db9rfwyl%_TKUnsMqDB{A@B9Rspd7s_mJ$9`|#0ky` z#zoGCFW8)2WIP)4tbM}Mb_eB0s7i&@7M!WqI5#yz5DM?yQkRgQ;%z1)K z%WG6hA@`qs#)rLv(=y{EmmGN&PJ4nY^UKUF%i`{&brU+dazGuqZO#%@bw<$@2?SByo)>6nwsY zpHKTh5HL2D*cr|QlKJ^%23qscaTBKk&e{V$O(QC$DK1t+mS-FE#zTI%(*!R-n1akf zYzi*UFVHAgQ8J))^nedKDcURJoFz5|KXZ1C>ld$a=gAh|`}6@?`Y7kfOhG;va4{B~ zJ9mj(g#6joeViYFcH~lEh67ey!R?D@7>owI-OMmbu^|WCA1eYGadW21%}ZB!(rWXg zhYwIfQ52d?NkWsc99O7RYB*`_bK^`;c!IY35MC2;N*a0f16%^ zgqmKYw79|2+G*BT7eV^8j+-2OdXL?mhnU$V)b#wMqKB3j89PGF*?sNMo<_>p~pCzA;OqWWr{(03a5OuwNy$~ zu5N5{_wg2Af9cD7`v-qar`N{j8muMHGn_G$J;73>*^B1LghXo11*Q4qq=zlnD0>t0 z91^S*SPU}H$&8~Ml~^}N?CCQ7xJuwDq_pIt0Zs^nA5n4^s|yH1EKryNRb&i@8L^PW zafps$K%(tr;4a2Pq=Zm;Fc{+{5jH7dEodC%>BKS^N#rOaj1#2val&JybIQR)bv()o zgp#a=7P2AFf<>eUG942uNhS=gFgQPCQEAF%$frypoJE;z!rctVs4&F&2^N9IVDk~^ zf-o!-E6F%3_|ig&{Z^ZI^B7+gNG!%rSamtma!h7HIKj3}$fY8%1tZUq=Z0EkhN>O1 zqCG!^4U4q=Z7D^N0JVR zTRX`133-uYghHwbIAE1UR2qooGnlwEF@vg!OL+6_R84P-OenJtJ07>jCOuxyEq(Z8}2?KaIX9%RCFdF5DXq%I#V-o9l&K5}D z$Mb!x1?fo~;7o=kB==$pqcNr+@O+Spq8Lq_kTSp+gAf*^H{oQg#hIKi^g&yM@-RYz zE>J>Zr9xYa?|ZnSz>^a)Q#prm5Qme&I2-rTx*&*3BsRyUDY80Cp%ls)BznSuI1i&d z58iAmwCSbsDQvkO@L5=CeNM`#n?`;z@;b8mvG` zfpiW(jCnCl3CbnfB4FHlhVJ%Rnx7{wRTx>x)qWcnl}S;!VgwkZ^iW|9oo9r;_k}G& zp{+)1L;CDPu2*LewH0(;5V{PV)VZcpY(5|>a)P9RP%%n?#UfOQDF*1Yi|56NFa{LD z$%#``Nt`icS%!xr(kbWT8WxXO6}Ys=+0qd2BzOuBiBpo$X}ZHM zg~`Ags?{>a7%G(pzOS&!9POw~G(ScShlDmqC?6{WOsR}bDrg~bMk76mR0=0RI7c!y z^KWJ#%fwzjVSRu4&jMs-V!!RHgub6l$S5Ju2#PP@QwcaJD4bv>2$>%pl8yURl;qpr z{ark7Vh6@JjI~%NkiyWzBaNn!@(UZU3Rtr(9%mkTR6;nuuoO=?hYJg92mz_iKuWd` z4)M^`vK|wbLW6{&C_pG?f`F!o7{(Q()hHo|q))0-kQ1$*wuUJ185cRuScCwjJe;vu zDUlW|=BH?j#Z7K}0ZIiRC)RXp4O03<-egTbOOaCIL_lgSOL?1`E7P>?z zz0Ui--&x+}uD$nkPxtIA1_KOM0wMu%0XI>iB5Fx2TXES+l!{b|Q)SC>DM_XL!LgGn z$%!gfqDZl1l@?lzEK;OM5EMaTCkESW0A}r(>3zN3cfap;&dG;!XGrih((AU# zT~3k?&{%L{Xmv0cB+e;D(gpz)(csOih@8D401&rEBaaCKYo`HYRzg;hkTdW-UJ+hDNa~~lPpuAmt~A(+hhqo_(C|86IkQ?GZMaq5JKRrMc@NXV!$HF3QMgP z5C%2&x^2J^D@UU*7}FUmiDF-ra^d3ZoPYNvY*-_wP>I#OZ06YDRK%FTEovwHn`j=u_ekpsrPtuFD5~uoW&SHW(`<} z5+DnU#o<#Td@ezOL#YrW#QiqjI4Gn7VaSa{V3D@KN=ZI^R#JMDEO!E9Aa@Qe6sd7s zzV#u#Kk;a@9=7gE`mc>K6gKxNerM29!%zt!oS?9PEeHaM5&@>)`jG>KMmU4D&dX4Y zLr8^kp3zoFgtL_5D#t>{_UZ!N0@6?u6$T?MK~!a}H=u3|D~$%b(I|ly2;~nbQsRaO zZcg=he5}V?&1sf0jS>cHA;S`u1F(k78k7^LP-N7ktI30Qe&sQk3H8J4w4BE zj!tv-_$m5nLE7DB(A?noiHG>HrK`NMxJq7|MA{TfIun#&@b`}c+^KAhWNji<7y$$G9WjWJT0gMA-OSVEvN*Nz0|Ov#+eTq94j`+Tbeis za3UbjQv&74b3-q)7!{zbR|jYzaX6F~SnF>)F?^}4wn#e+YjFtpDMU*>YUJRR-L97RR~Ohz!8QTtRoPb zL7pL$MmmUuBd{Ts0*j!m1%Kz*E{`T7{K0j>fB(=5f3k9vKfF_ABsPS`FewVzDOsO5M7dn%&(FWgKY8pfEfetvD<_yr zK486@vRTafd@FGCL`nP159%Plo3K$z3!-gUAqcwJV1IEIT-AayZI=^2ix(-(F&UYY&^{jEq${ z_s|2parr7&1_`npBdwtC946akE*h|7LTZtvTlg7Fh=5)pI1uz%F=h4#IXzp#773Lg z@8Rw00~P#Rw0pT|`=7 z$_E)_2_M}2fR8@%6iRr|pd1FoVZeuX7Z|OMlUPF-1w1($@!GDYV*~OeM29h2N~H6L zDmRuusr&7?HIUhyx>W3+J4VqrG;zaXI1IGG&`$=#XXS6NQe2_gZIY%5Q)3gzA#kOI z2bhHLw?7E9l(hQIA0D8hus#H^C{v*>5aj(`_F5g}`Wl_xJ(|rObQIFu>oGBZ z1d$H-^3_qM`#rjLz*GAz^Wt4T2%hAf4Uf19J)99D$>>;}r=EP2w_pE|r;d&Bor~~= zgND)3IuD;X&c@4MBaBK+&mI9J%gfh!;=se4JaU@WPJ@y&Oq8aWoSNpunG;OaCwS@Q zuknu`-=O4DzPkHy#zszH@(%4m!Czh2=0F8fq3MVkWs}hhVnRR|P$Ix7EeM1o ziX>S!U~{8Qr4&<*OQf9^WgLO92;mzet0I2+z$#mN`O|X*faWojPk!T_^5I(D~tR?G3#KBU*dR}2h4%jI| z>g4DsBqxAuK<<3VWRfL5)6FR#`e^)3Sr`Yy_SQM5C`YCgi*|-1$r`7%;JTaQq}!(G zZ}HuWZ<9&O-JK1RZjWlcLYAeJ%Qbep9rijMqz*Y$P56b`JIL~n@%(nk1EZR2iDa$N zC~FZ;4d+oGL|FtfvXmTH-Js9vq*g2cV_o>e4N? z8`~V1-A`xn7DbU$E0u@IOuqFitOZhpa|Bxd@Br1v%T!H?QY9mImM3TDIZ>Kr>cAWq zUidcEiAj3>4yqI(dKqcI!~E$IlSP-H+hP-io<7QBvmYQTj%whz*es!>Aqadh#oF3B zH;aPreE(&x-reK98zpu!#p9b#e&am1n}+f6h@bq}=P~VV7Vh3=y_>MK@F5p3 zUnVCYu2i_Y^&x{irI!r2X#)OqoSnOsTZ!R%8Ug!G49h%Jsvq6lEJbI-9?E@91 zhscovVf{eroW%{rkN4&yrSJiSc=&RVI|>w1ffb7FLCRRE%m>>otSxXV_N|-)D=gXu zSZQ&?D(9r|$eWe4w>Eg`rSH(`yn?SnE)Mz@kw>z>n8k6uIM4e;ksO&}kp`DDTZ= z3T+)#Ew~lUax~fCcqF-DrZ}DLk?k7N(liUpcd!`5t|JlKlGWd+mYGyL?&KgHV0U2ZNcG8S8!-HcN; z#r(q$@zvK~rCV5pFvCip^Y*&NlCyUCE#6BKWLVQDQs9=r(H(Hi{p-D_ZJx~k`RFt6*0a{oJYlqRn z5NnB0l8ScZO7nB`+oXlyty{C$K!9ocDPTA!IQ$$f98{u!CH8YD-{hEvn|6{1L<5~} zvsalWayc=UxxH;>vRy{%ik)59agHT1&MUj)IA@7n#9vPtlhdzzcht1@Pij$LkS2fCDNdTBR~xjVg*P?r~{l+Y;HG5)0}d-g2=iI zgSjb+0+b|?0^t;a%RN~s6as@pAf>}1eV($i2qDRYVx&lzOt;xFlDV2-BPr7@a-_%l z{Rb{2Sc6lJLMXHw#y2C74z$n|K|y3KM~<8#8+2J*T%{UmM5RKxROXpae3qNbH@SBA zeY6Zcm@w2_NhK(Xg2$fz1l7to+bh>8dLf^B`lD28C0_WOZ*W4l`PCD5czJz{KV3h< zZ|?3R2{L};(Pfgmvy?=}e|=zq|Mub&WLs@ARYFmK@-L(eCB`^}lO8b9jkqZmZRx5C-s0EZkA(q9ods? zS0k_@$p5W#-T{@4U6a3+J08QH9Mg0xPga@|DH?1c4yL;hg*t?#EFol{xpo z!!-69OixZTFoHl!y4?XIV^eG;YgpwW@=~e7gU3$O86?z7Arj%A9Uz?bE&M&7oK|$( z9X?pNM(zr4AjA#X(|aI-@F9bqAcKljOij;n`_8hD5xTid6a}oV?GQwY^^ILr5Kz`3 zy?zfBkCPMy%5@1UC2RpkX(W=u6^x9G5JwTcexIK8Jc`dU(FiFpMS-w}@ldk9wZ@ej zAF{czO4%BI;_)ZBdS#J~Mw?L`A*F)8<-rFJv)ga^5EC7-*6vV<0f$Zrw#9|K#AEYy{`uke zxE7q`cV6ie$bd{J5+f-~gHjGB41F20sV5QA^BvAXCcI#GR|~%P`YU{Ru<0>F1YR{dC=@4^9a{7%uI*OoIVX{pC_J}=t{rgCB!}w^O?Y&((gC0tFy;FP8qTOlZ zEL3Y1lnU9|-5Cax8|w8slq$8(RJCCLhYclFDxZLARnp73(carWp@ zX7-J8`0!ym?SkL?!{25!ia31mAibo+#P}GM<|w6D;0)x(--uBZ@ek*&b9TDVzrJ*c z<*hDSgB1a*dJ2?6xg4Y<5Q?AO*F&0wuigj=>Jw}whJU+tlv{g(bx}pAh=Xy;iHhS| ztIT#{D5T<`YcrNClS?^-5`159Z{U6D^$$t2gt4&+HXAp{@*TEzR@iATQYu$Dey_G# z{Id~a$PYO-uD(utAx1|5QfiEG6e^;;x6Dt^NBsQZRsL#ij_;?EFc1V*^3G<7T3#?G z3tql1ks87w>F9(YNpqYPK4(Eozlp=aM`skjd~Ab%c4dNkKMzc2?k{sqmXG?#a)bb3QUy3L@pTla+dnEKfOk>>&HcN2pe- zTwSr|-HA;T@cOQIqY3EDZ*c!b@sPT+EX6BS;ma%lR{5P_2jCw-Li z)YOkid7Njuy>7%}3rk)&lyJi=X^VB9&@&l0VTWn|Au=k_-`?Qp;bVN_sZY>s?GXfu zoo1U?Fa3Zp4B6=JF!sO^RC|vs$vHiLkcSQ(;`hJ&rxbBOxjup(pJGs}Ff%^`L@YM8 zczH$}X6o=#^EDYgH; z_(N+YC}Bwl2`}vK=cSh6yk!+CD}o zXlC%uwQ(elxGYIz%yWmf`T5yf{JW*IyncNb!YX&n6tV0haU@xe5E2!HxT0XZ9P#Lx z$Jy)kc>neSS8uNq1OaOFi0=gM%cO)?J6I1)fCm&7m$rv3gct@ZTIS<~Mp)s!J;90b z8cAxI8njp!3KeKZ$S70|TXd*~hQ5_p>u}O59uPk=Pgt*b(AIKgv*0(gGhA#a9(0Dn z8SIb{KfjPt*5Fi#c7A^P9}Zt8s@3@F&S`$};0B+oFY#OJ&oOr36w#o?{&L8m{4BRt z|BREm!?kRTqti{!O)0+ojXg$d9U6N%gYqcNsB&Ko;t6?Akox~`=LzLup-D~7oy}#| z8(TC6ZAzJAb?G+q^9NaIZnGpTeJNSWU^H~h=$P9D1j1nq1VVDW*W`c@Y(^7kGLQvI z1)e*SLlPDUf`A)$Z~v$g!6GDNg(1&#A{}`?1PB8`mI)9RDKt__w1y~%7!*0#pzFW6 zf%(*jXID2T8hfh5~QmZjKK0zTR)oLB%n(PiN z3yVuEv>S}g9wyo9v9Yy<(h^hn*6L%=JVUqB;Ofom>}CcT*T{o_w>EZ&Dlu4r)&g7P zSQ{dBfD;+YS>9;G7$?zKR8%Jh7Dd2*yUU4umpgU@trgc=@IT&sjD@~tM1e30DIFEX zu;qo2jPI;hczg3W3tdG&*vE9<9l)h37!6^C4_b4u!amKq+!#?^BsK?%u{)ixHaTyoWND%Qvnf zqB7;lBbca$tWIMpC5Y?j`V1p+jdqq2D$NIj4kvpX?8C5C-G|7%7SlN~T(eUklt63E z()u=kD^x_F`LS~!<4Rr3c+D72P7B*Qtha%dqi&Y`s?GY*ZS*VyLOm!GGw zIb$OeG`ej-kz`)UECDG40wp;2;6u!g&+x@Bv}q4o1VM#nyUob#ao*m#!%?%&ul>^B zWu!VnuhZg>zx-8hF5L0LLt(MT@YEw`**`bQsZ$SenndoJUY&Er_Ye)DWxFd z?bRhR>v8_j`T@~6!Nb)Gk|lYHC2uHh(xP z4l9wfey}$k#kv!W=svSSz^WW!+ZjGFJ4aT)_{4rD>J`3y@g3&Mlbktvf_A@8trBze z=qbMU{zbIW-ZEkDZI1;wVc{!dq*c%c#a>Zl+V5>TWQjLso-PZxI>l9q+{-6ouiy?Cjq@Kw4@d74q1b zbKJePNVeYagp+kxm!pKj3vGr~%J^*PQ7!q;o;$_EXC9&5>w>auZf$c%RN32Z5Kd3y zoJC6rJjIVSIjcAC^3KJJ%$_>I(Wx1nb2J<4eAq1r#RzY`e}&N~;A`Ld8kJy{T78r} zPZ3fQ28#dV|NLDJ9-84ZpZyFsZr()*g))W{v$GsJILDRuuh3av=W{>(Gdxut=hlUn z*zUA&u3)-c;_)M=Ni)O0fAKpcvdlkxc!?(u34Y_P<1_~XZ5#%R7LGxBFFVh30w{s7 zex|VnX#D46Gf6Wy%!j)a#N2%U2F=zE+l^I@9z4u`0i}WAwKv`%2qUbM>}_u{o_HDl zy_Xy`0!O8kVC(>E6?r~P5)zKN@t9wKe1|tyV*YsRD9wJt-z*CvVF;AP2KQ?9f(!O{2v$eC_*>*_f=QDSI zX&D?Uc8N=6E^Lo5nzrc!Pe1e!{pKz=mKOOZpX~9#p(#E`%&CjpyxxC?3E3vIaB+Q` z9*U9@*dGxw%ORPmb>OWrT2mB;BXb8y^OPb>sgI7bx7XtE{&}1eT)6r^#uXsl{qPs9 z<v2Q+@=-R>G0x1Dd<* zjFzT2Gx5bqjnpcqZTBHCaOY zcE}jVSR~lWwcqUU{sPBN9AT+(hi|;~9nPLQg&q}r_0PYG)|z^~&Y^>c>8>;p+OMF* zc%*55{vfFfS?u*Fih`rl`*`5kG4?twW+o^3_WC6TvO>u?3TKAfr9f~Oh-U8uF zjxiD^B({J&%`rM49r(}93J^l$l)>fxPVxk!Z`HHR;0*K}g3M`L zUJyuu>38|H7e|>53Q8hlvoy(<9(nfGCJ5iWG~WH|SH1z5em758vbD{9&S~#Pa3}4<0+irR(o|!=?&JiVPKK zKRZevIAWZ@2uY{{>Mm!#w~Fam`d0d&(ApGBx`vKNxh6EHn=2fc5NH{C5Mwx!_)K;2 zAGL78pEBz#c|JfmCgNczz?sWQWIYBfsiA^&aNk&5|4l0-{pt= zP`hWG^EZV*@{EtvX!KJK>_5mL&8U{c`~I$K9Fq;#c9yiJpCxD&5D3M<<$UGN9OZh# zdeJRtu>WmAHyhijAFhN>NOxBZTm(5Gf^_trmlHg@cpxT)%UJD2ixxS`6}@ zZ~2sOUp0c0@^tz{05)~I!$-S3lS1zjD25Uf(6HE;wj<7+R!%HyX_ z@;Bdoo;!<8iXuZ6ZM1;B41VvA{*cOu;^gs@2wfZI8(508&ra&t+uLJLb~tzH1QtP( z6gc5cbjIZDHB*|cCTSS*#G@Z!wq9anYMM^3Lu+@PTKz1Wn~Pqe;;i4YCIl!;F>HbS zeo=|Dc9^Z8sD%a1fu*8T%F6Qct1q#$y@QBKNLwJB!aB?82TxM3k5UvV)3Y;tc;&<4 zT$NK4799vg*Afg2swmi8U1Dltnpj8l+AZ=dWo&F>Xs9!U!Yh%j^=fldSe*9TXqAu{ zr3eQd9zJuDDBj0wZ@hsX3DBt-w!aLQH7oMOTk%}1k!j%lS{jN0q_MYs-~<>+PzYq< z9iSqCERZNWpw$nU$~1PP!+22Tsmc}J&g%Rx=gW+}nW2I4J~ zdBmVL&42e$hvLd#^XzPmZJnPl`1%J|xp3)a zlGZjinkB{$P4P3w2AmjK=U=`yMl-R9YMCp|Hcw3NFgICcyS2xsjvl05tx~FwaOu`H zT%Isqud|RQj44NNuuG(mF*Q2QV~?EX^3B_{x0fizN9i_pNxBVoH@8`9bl9~4nKVp? z5iU3E8h>?<>3~pJwv!CBWGpOELr@e6%|zf#N?`XP?-m>H*weB!m%Zu{alYhFXi97DEP(43r>Hs%lXwZ)_Iwa#bTvED+t2)Z~K897OfTGP-Q9w z7?YDE3H54~L6Hy#nkWcJ)68$q){-#LUI!I;x=;#<6u#=kI;0#1)N55rl@b=e-P_~_ zsYCY7)|niINs+VI@AIvN8`R?fq#>+U7?u0Ij3x*v$}w+kDSmJxCedZaCriX(%xG2d z>t`>rDpUT|d&jAiD%`$(i;?OW)$uxlKDXDFNu41U1Dq+SSE}5)ewDq>fPE8Vym=(Ai(kT~DZlx(|3DEc z{>v}@r`V+6|NVRKK}d(N!#uy?9$aJWeH((e+(~c(Eeg7sGu|OZ~&Q z){-O{Iw+AA{u*<}Gy0u0rQ2^0uT2jk-Qxv>Qo~?ESYBJ_?RS0vuHczZewMo{D{QYW z^2E6(_};6pv0IKgQHhu_U0QB}P$(!0q_zY>#AM;vy|vD&l9)V3%N|9X&@YGd+lqf> z_VdV$!t|Pa{k=DlMVI#SB03cO{X>`d%fzF>JS+gf^w5DvVbox7XHabP{@{QTj!P z@i1Uig;Yu~)x*pQBPKvAwrNp6BQ=q(A8M;E8j5@?)Q* z+1_P(RI#=@f-Va3phBtTlM^&{_ei@Pe*9CP=i#%D(QPy_y*79I30es{ zS;73=D6}+r>N|(31(y~+3s6|^(j0ne!a(7qL28G!5@#JzD29uQAU2OU!a(|~yhtdF zCdm~&GOR5qvTXRH`JnPnr%hoBoDzKN;=2TaM{PD=ewl8+PsJ6izxZ{oCyGEgw)#CD zt;g7WKwH%L;@Pu2uz!{y40!FO^E`j|dzd)({+vP1&wuXIxX=(qB~lac(ktJ@R7-qp z-!dob89x~LB|b45FthzTluH3oB(^;EHfS}r7!BsRaQPBTi%Tpo++g;Bb7WQ# z2t}=2Vq|QLcCSkimzdr^4M?x0iXv1PQ?HMEt4NkoiXxQuF-=lR2Aw8a`p^x7qt)$T zO~SwZ^Z&rfGY`_~v}tUva{k65bNi;av${w}#W<-*4V0bWH(#j_Q#1uK?=d}gn49Zc zei(OV`1Bg^Gr8zlLqbX8DDV7N7Be7b&6s5gV zqSxya$7LRyAE6#>v$cMgC=e{~RG68ZWmF`zTHELVx)_8rC?zPBV!BBmD=xnWy71R{*5cZUbac`RQD4|VpF0qyQCpa0xv`Ode$#TP#R zXAZ#4IX{!8P=ATc=^3InSS^*L0lp;p${EFQ?14voEYKGhc}3-RX%$1 z7$14;ELwQb!C6DM+h=Wai^}36?{BP<*GB0Ig(|ufWE`EFaLJY)Om|v}MdE4j`e7Do^M9Nku%8#g}SP?|CS6Q2fW z*;ra9vL*I?b{|QvPu9=Lnq5k^V5Bz6)vK3STVJ7ED)X)HUf{*oJ|IaFgcEFSEYYjh zd^H8)pbQdj-@eWH>YIGz$tRI2^oS<`b7LdSA3ea>*ccmITP$sCVO7k?fnx+wiBcT% z`A>X`wXI$5tgo`_EGp|z(wa^`qY^-0Xm%P~elxc7BelaIBw&0U4@2$q|FRUNEka8Q z15!zJD6zs0HGRG++rpEn21!-%2&H)U>O~$odIBdDC+Cmz{109v zD~5oh=b?EqLbf$2fUpo?btv5(QXe zY4*C*M@MP46W(1|Ag(xKt?4_1P?~@L{5Sd2<{#1Qbr=bz2!a4%{FSe@=C5A(4(+VX z#Kb-h?w@0SO;HcZyu2Fn)=k6F2lg>puMx42=B=CTsem{VJa}-D?&zcJwmK+tm613? z>X`R-J8ZUEq~CpwQ^!uRxxLNaPC`!^zWNv6q}}c?U78?mbotivFOd`(lko)ooh~oG z_$H0kE}<$RjG!niNm|fNQ?ewbR`qF_$zVWSs$v+BrU^kZ0Hr8LCBn#aPGKD5EJSe( zL%Va5WgIHSeD1lQ@VR!*^7Ze0n?i-;S;B#dDV}}mX+#k5_=9Eszi)nxooqli7$NDl z7%PRe1}RDw#6cM$I+!6jeqR|c?#&Z+sD;xQYke@5@G&Z0$UFR6LQ(knq#Q$#d+(Q} zAT50RrIZ@Vd^EMu2_~`zKmTZ-a%GfCN%6{J${@{IyYm61@dHc-nz%Z`!RdWy99jpQ zJ$jCEDI~XsJkRLmDN`epoH=xwyvS*H+oX9m4C1#0!r!(=&b4GV|cx!2a!C>G+=u0IEoALG!-llzWhfGM4q=zvDpFD06r54{`t}<7d z;LUe0A(UcrYzip^SFT>Cmn4joCvd`W{=N5yGed|If;Zm#fL^b~USpfe=mb$5`4EoL zDJI6ID8*$$t%;(DdVL(x*rHYB2&p}{A-(QRNl7qV#nJC40jqyU+3`w`N&hX)+Q;q)y_b^Y$p~ z9k)>wP-F!{4(A7JJ*ny(T4}TpSmCqUv=9VJV%;zVOj-&f7z_+?DA6%UrLhSNlH4mE zGQ;TX6zyJ{?_Xc$mmY?*$LB$pS#I3s#k;pj_O@yE`sn%?6GF4Py~@6^DOMXB>>r<| zmj-M!_ehc+Rtna4x43-g8l7I3e$pd#Ioe3ZYBhG-E!1#EQbG`hnxx3@^PK&DpWI|n znIP05Z*IZKx@NgwXEQI;-OhRFbi`UKX2X@J1o?2r_lM98rEJ--WgMb#hC=x)u1=@P zci(=AR;$U0kAIfgnK|~&&XIJx96ofIY-NS<>2U%S9n&SMPx0cdT~@XVrYB|@sqEwR zH{T&kTFjm}$^85Y{`&k&^!6IuT3h1BiMYOahlPa?c=_%vh|82l>PRFVWB5Rnm^HgR za%7Ilkx4GU{~l@DCyX^J&;&)!Ajz?|pca>y8>teNs*KJaCIA1qdb3zdv-3RfS;If= z`Rp^FI;ZBLhw6ddR5zQXNNS>_$PyGuhNH-aE^H)562QnsV8nrfOpap*i5)9I6vPmM z#EvCfk=pDe>i_^C07*naR4G{$C6R14n@u*GUENhZR8`kJoT1LV&mRAAt(A+lt3>9$ zPF?K%@Aa?m{oeO^t>fLR*H~@WnVD%4bsLQO52>6Z%~Rq?^89lbY0q|Pb~;=%C6|XI zdio*%eTTqx1Ej3zetSt~i5Nba&8s~7fBGeiaMPQ-KQo=B# z$P3~qrrxL##Q{a;|E?BlLKzT;zQ8yRB6Jv0WU2Rj`H9zu9F;^#OOaQYe29upF-e{$ zH-exUklG5W3LR*i)97}SXHRl?ct|w2#(TFu;n@@C*gD*0YkPy^E2|t0h7`q^X0y&DOL_79 zMFJsc*O%yz`>ZT1;T+7hx(w5l-NQZB_qNbFU@}R){$}kHdysbC+bNvkZ$4}2UwwlY z>m}LJuX15kvUllgTwGG5tG~)O?h4=bjUREe6a+y8<&P{<)l{|cL*wNe9})zSFQIL; zeV=HM5C@Xk&J502T8$ZW7$c=%Xez$C{fOm94_PP=dDpfG!+>9Z`HN(e5ra(d+%uoz zMdv+t-}>l1SXyDnIYOZbq<>f%II_xeFPh^Y{r>MG`nwFrDNQv?yVW6x0!HH$p%g`4 za{c2EXnpEMwr<@=B_R(t@3X$M&RV279XNJ2_DS;!XCa6*M}s~)2fIA=^f`npIkB)n ztvBWeI|=_Fc@@zg6DiAJx|LU2krf5PIiwRvp|RFXWm4r}|XvQJE zS`A|yOY;rdP07wdiIbLAt3#vF@{w|>(Lz#`IkVjk7cQK`Iy0S1EM-xGvrJ6P(Wt_j zf+$MJGEYDaD*+gKSh}??^`wR^ci1>|+$%aX$^nsdlva_%5rTnN-Y|?Osn5PR5XzB+ zF?L!xqm;MwNC|-iV+Be{3>6ZIR)V~=)Z!3h{PH%5qp1vTFjJvHIYB5SR!Y8pJE51| zM(KbnJ00epNl4Qns2FP;QbMEFAf5~fq8g33$==>B&zw9*9MuVfkp5^$GmfxAQVV0^ zFeVHmin3y5cA1%Wi~GBq6xLu|g_^!mo%1g93PEKhN*PYna{klH%lz2FEx!CSyENr2 z|H%(xe&KANFa1=Xuer|jjVzH~I7e%RAZft-?S9C=Z&vp!x){!+;x`L`WEMxk24-t2xBP9l6sV|y0%1It8rsv8`J9Ysi$A$SC)_Q zgDY2fuz!cydXw_e0YcZviqw;PrX8mK7vqzyK7?qAl8~~pNF~r=Ok8X6m~_;cS>*bS z+Z1`l=bm5W*MH^5_&fjAS17WAFqULlh7tl5c-g<0l$<)X#?wzf%Yz3Gyr0un1S$d{ zF=mXEmZ~VobBofNFiZ%-h$7Dr(xEA+s)D&_!cU$LIlK%H%NS=$La8ZApZ5||YLKb? zGEyr!%^L|!1^S1)b;4O}C@IS+KQIso14ZtkG4oxo6guOOSSGn&8cX5Dh0!D>iX)z# z@A8BDd%V9Dp|zx0mn?t$I{Ufh!rUyIhx@1?;ms>=qoSC!%=zfvH9Czhmu`Mcr_-h1 z?*oodhtz6ywstpZ*INXUCd+b?q()vA{-86KR@`P(<=C>Eri|rOg|i$Lg0DOX`TPIg z2}ad1{>Ak+XI3r$!*4#1kP%&JWVG&&V z-Wz;64msGqO{xNdFs8p-a4f7LvJB+}t!l*O%+hZz(KQvZ4tej!M=Z`P5ab2J;2O8~ z``q1q#5f-zQ8?o$U5RsEz0p$prIoE%>dx}qu{ADzcnOo236sQQ3k!Vu`A<_9hMoR| z#crMP_7teD6hcH%;ye zltgW2%h+6BjE)E4$e!)2{qgDCZl5sz~&!lR1ItilHF$-LvN@V-CeLzf1Fae~RD0%wp@ z34Ud+PNNnw7#H*gIg_z>@LT82s|ct!+td!X36!A`3Y;*6VU6?M1@myU^o zV0twb6t*Oe6SnsEDXf2kTD;OWH$Tr#Z+E)qktn4os)}>V$8pYaXJ>=sa|;Ni*g8D$ z07qw7n_r&t-yv|4dK57>8X+8wSo7~copR&Nf6dElb$;pOukmkhII61hQ4i;VndKDT z|07f$YYg4S46i-)S?+E=pj~TGlsUCHA&Da1zWP3^7cR25*W=Ci-XM$d22mR*jfUu zr}-H_OnW$oe*mh=SGx-x;L-vegtXgrBoaGKQ9eHX%hF6qFBNeZ((m_4^VDZ}oX0MO zL4c{m^xqkzjBwU@D9hu}ne!2WZg-jOt^53&^(C50Gs*Mmbx<-HXEz4odVsJ<)I!%IFcyha5&(>&X!k^q-1%f z%Z2VdvYaqJ8j}fyRe}zJojN{tl0?%o1rnUj|(N(Bfh2;^g{l#etT<3M@FwX~pgKs9aTHpWL1 zy0r$|L&x^G=dXQ2Q3{C;1GLr%mxJmmAteVCo$GxoFU5{N=uXy6s4i6AdbKq zL7MwFv~~nlms%{(*SUFrNbjgXhZ2M&k|A1Yq)w}+v<^(3|i54Il=wrd=lJw`w66Q~F&Aj>kegiuG^cyNdL z*+rf^^E8v9AW%LNWSnym{q&jLI?Fk8YvH6Y@rr`y01OP0CYXD@JO@g$pj>%4gWMc%q{iTCe*Or#W>rR49v74aKq?(>h|i%6rGTaWJG zgd@!h0u^xQ(H5qxD6GUxvT1NhG7*CLc9+l0t*{yF^O13!Kl>C`YDAv$lg~XzR#cqc z+Tve+aD`OCuf6s~PRz|xW@Eng_B*_P*yGni&*m?%RtDpWX zckbTg=xD&g+yduL9%tjx=Coyi=^^3o({gIu%!&!yj}E+b%2-gEdM$!!chloMxsOu+ zrNL4-|DBl0A;D-D8A2u^!a$K%KKvJHe_b)opr>9v>A5!#h{so${?UZVI$C zt|ZhUfBc<4BQ*uLH|`)Uq^2MYBLbnBWMiTzK^XseM?pkQhwStY>5T_qE&pcwF14^p zEex3yspmXIHRh!viehqOnNgN6ucaJ}B$p2&E^o)Y_5ZL+hzN!*!XYionmTFiLV=jx457+T5p z<`x&vpXKU}>pZ;wknY)&>{G*PO|zzG*Bd~^)ekPS_{!&}tZdI7D+`|^!WfM6U$mMQ zTOkx>>8Q$*IMK`oGh|XzRtBL32fbaQdY##&S+c5PQj9oE51H5uVFjitA&PKPVDQjH zB^7fEGu|ycm{8dQfukiu<~kut2Y%K=aWYOI6uvGFK1>lG6D8rh6Mhf z@vY16lBOv;k2cv_f56FF#OY%>4|m7ZlN!R7?C&44-gv~$?g5R~9BDe_{^mBVC}eAU zm*>u`akBG>Ke*Fkw+Q&pmabtB3%U)93KDKSxW}WtJ*qMzP`)}cFH3}R-j5eV7*moL zDRCTwlT?+ZJKyE>iQ`;*cpn)Ef<_$^2fX*;yDT4DB53<-&c@)7APMR19gvTQG@<|( z#>BN46*aNKV3kE{OB{ztEm7Lh?bJB2JWpRrB-$H)<@Bwi^z=$$(JJKP>TyCU64U4E z1pdbJlE3zBpMQ3Bf!?UeFvxH&Ln%cZ2`0J07*I;ktN{e{1{G3(4!pEYB?$sWBMCjd z)mcOdh4%eXt)@mRiPn<3wiJ1RGt*t#WI`>eu~HoJOUKqxqU3vnIlOK*jTqn=bqyit zRuf-HF#T~$<#48$!jrv3F3dD?;T{*F`H}!NRR}?%ZNkq#J7l^1nE&cVRJP8I z(U`SUU6iUg(=7N$H=ku|aEmYe)HX@5$bWn7ET5ja!q1%C<@ZbnC#Gya=k)?CPdbUF z4RvZtpEt}@6&F?&FMsI;kRiYL^Y^*?f81b!hzClMJHcv0qP3tA&+?m}{StLm(!2iq zsG8wdKJ(YOeE^@_zRu2mk1KbtQjZ*kHvE;Be~P*8GMnqSIdg0clwnl%sH+-UN=gwR zl*5&Rk`R+l7?=LbnweXo5!V@y$JjEb-Rdwq(;+Jg<`$RfW)sHwgq5XLA4|^-C)Q3P z_a1TX+yxquBS_jjznJsOOIy4?(0uLDDmttY3g~t_G-qZQO-B6MFZ?t&Zr$PXwHvgO z8h`bdex93m9`M1(S8=xB%U}9&4thPlfB8cchM)NSXQ+(j-o_?DGr?6A1R*cH`Wna2 zo}nJsJO)51gz$Eq$z*~k3lin!@Tk$jDep)Kl%txA+1q|dvmB$H;N=&eV}5Cl{*m-E zmeNyqu;10FCl8yZ$S3UWt&_zqbbFC&!<>KoZiky&8BeV#q96pD6D8hFZ7R<{GsbZ= z^q_qyB~n!&6{Ef(4E&X~*DsJ7%G^vN5dvc^QU=pxyL<9*3+=Ckt*FM{;Q?R0b%)D? zd47CV(bXwwSjUzA!8AhA5wMbO^I({g3qOZ?qLAOYSqZ{Sdz-A^IVs`g<-)2d%S|F& zMP0-cS~DpU3RNR3a>BtLZw(zs(E`U^iLEOBY_rCH{~ugrZ?MMKZ_o2H&y@VbUteQ1 znde{JY!FXdHL7V539o+cb7Yf@x2{|vvjv~~{A(OrImU1O&;O9I z3rHFbQYL)s-8U#~NtPAbR=% zy*N`8^5dc>>|e4hoO4L6$+7`gZ{J`q>N3-6@*h33$*CE^KlrAiDh*{-c!MRDP)pLn zp|l^g1I?72I;HwZi8X>+tkEIlx%2G>P9T+fQm)mRiOBMjq!#0>W0HD1kh4CC+wOK) zJ9&yTyF07}j=%NPKD|NCZ{2!U>}Vy`q=RwApMPAwsIfsA*8DEJ{wEI>U+M=Na{m7-lIorD&=O z-DuG6%y3EyZe0Bckt8JTI>a%jpMHv@y~*bKJuY5&hDUol{P_9v$Xdvi>mM*5jF<@& zx9+WzS5FJH~ zMk8!lai&}6>h^8^&pQjGRx`>noCs(N!CJA!heO9&Gh#A$z=2f=C76pN#<^iwO~L<< z8|ma<+5x0>p2KY`oC`3nWMng>H8>S;SV)cv#i?kXZrCHUEoyO%BxzIEA)+c-EVp>O zU$C33aFi;#ali|9n;+~BXvjLcDtz3>S*(?$Kg>k1!ce-Li7lDPfSamD)~onrzk;yA z12pT#{~^Ng#v?`Q#&ixY@x~9n%hv7|-OfB`%NloYUSqGn$?Nw&qKZ2-y633tJ&t;N z>^yn^wH3a0VQjo+vqo6XJd1d<=GkD*?Gia zRKtubE=B>%ODFj7lPhfR-DN8u@cEa%$obP}IeY3j?>~IV$>V4E+>6g)tl-<%uXFO` zDSqbjuOU>xSKs>{$B&=lGoN~nR(p=s<0rX!ct9LS-u4eP;?SRvkFm+q{-6L&95|Z( zsAp&cl&m3(F|mw@q~{SRBza~TOfqU>fJsZ=ZyLxc!ob^cCWU3DR?=uxXcZ&rW1Qfh zelW+Gu)vLaH zRv5xia^X}LseDTHu%GcTFAz#lOmeg<*xP+XYI6SW7Zfht;D6eAirh$M0>O!Fo9m;3 z3KYfQ`Fw5^=(&Slz69R`q2>YZ}q_T!Mo@I9SIK`w-SZkAI zV}hj4xVKB5_gRih<{6>vArmtu8t-wU+(x??RSoz-&v4LOpcyF^!i4k12Jh^T*sU)U zVhN@`NN^NY{-b761(>O}Z7pm%&5;TTBuq*}hQbKTOrwr-mR_0?5s+ESQ4pbzoupqB zynp)xM4$;4Pm&48*jPHD#8m}FmGQ|Z-{ZY;2U&~wC%^yC>F@2az5fUyB;#>PtyW`o zWsS0w+`4rW5p5DFO&Hhs%A4Qf2*-Y5Vb~)GG(oI*(C@Jp2P`cuVT@%X8=<0*jq#Aw z!SU1Q`R=2KtS&F`@nFc($#b|o<3xLwQ*OxZJD0fnXqWzQz?N?C$<7f!d9lvnsAMM{ zFk?!NEv#`g8ev1l2*X@wfpMM^H0$I_ur#|wn)?D{!obfbLZF>*X0URq>B`5!MKR6S zTS8gKI)fynk`k3P(Mq6gNl_|vpuq_;tGM*ZfUJL=B$;9R;DC~nKm~M~UBV#37Ad{j z%U-2R65?8uHHIAaj?ncEQI`5D7v~vvkyg}VM{i^}wOj`aS>dRx!Wvkbi@3Krp(+id z$pj}9SwBZB!O_T2m4-0XjMI`vBc#_$X}2Q|dl>>pn);oc4nicF%vc@_6Bg=KWtmetjikgluexdJMV?m|SNYOQ zuP_`Qkd}s1ODlv?!oPa`&$+s{gL4Jv%Zl}J#V9M7bApctIdNzi*cxtbk)=S+f=MX^l_r-eE2*+1^g+#d91#cZ{{A1>U`WjhnaMCr&yX-rFQfYHW-S z$z8zp8xN?YWiK7m8>MWoZ(uF#PExk^57}E^=Wwu(iW-=y$}NRND&==9GWOEAnRwHs z^YcShR7mCfX_VAR%v3to80SxTVPSWFmyg{n#+~GF=aB37`)o|c7~^>LLY+^YUPYRi zqxWwS)D=>RvAUQr({{{tYhWyg10T&lwpb^K z1P`~zWK&t%pSIqjC+vM3BWINYUH>#)*Fc&aWyXj(XyB1-`zw&Fs~+rE@72+bzefUXL@KX51{+5i9` z07*naRLI$BE^?UtvzD+x6Q%u5 zMN3U?GWvr)vulgYF3vJ8N|aQDap=27ouyH0@L=l!Z(R8vq1HI75JA8s8?z{ukuLBB zOiqFDSExK4u>ib4L%%Y2jLRRqM}D-y%DHo-CZw+FtaRtNcKZgGuDyrWivRAP{S&He zf{sImWySyf=l_OAkulN{+F1U<@Bc0?ozRa%L{;#Q|Md59@2w!fd#I!uucNIN#;RPB|K$(vD*4;!Ht&dhLX zagGq!8~1qQ%G+E#b&5A`-eMReoDDM$kM^m;8Zu8IY#`Dh+lM`}Y=TyT!K9)-sW@}I zjkAJr3iZ08U5hA<;ohAQc`2u&m&Hu;H1;v}%7M}lYRSq<3xlIsiwGAb2mKzAn3n%y z7*EFJWku;q%1kjZ;8eoNmZLZ7F;j1Il=k@ZK}aCnlW4ZK!Y^25g^3#eaZU%=s=@^! z&IJUnsSOc?Jn2v z+Uk)~W3eurb>5^ME3KfAQTiNy}J zxJIMV0w*cTj8RcwRD=Kb^1HY=XG?cDDJKkNj4H>NpvFR{O@E_@1CGtrnVSvS-XAfU zSems6tznqv^oJ!I+hau70#P7c^#s-tXhm5Cez9mRm9eaEk2$f}pw)`Gzvch!6k&r3 z6jFzN0<6FV4qQdx47($ktF;(qM_gu>sF8RivGN=P;d~>Ii$Z>Gp`vdj@9uRGS;kU3 zWHl}r6>YwJ{0vkR-oAW|vXt;>i&uW)6+V0NJiqI;IXSoJ)+a<0+1FHaE>g?$f^Q2&PmG@VKd@ziC6`U z#v^+DF-OBAvdM%{g`7QifraH|s=Q=b&#-%VXW9m#$W6&$G$x&laHgcH9QSuO0l|Yu z8`P78ah_o-g9;+{h69XH%mjjyc0gqZ+!#mnZe3?432D_5ADC0ns3oi}9HU)Jh_ZzB zYJ)&3s>*StZ19pwIqnJ`sScqN7#x$rx5FvnZ9k>61Yv|T#`pYKC{51qT7oWDI3m-sViNOrjCzq9g?Po{xGN2h!~D?^fadKa5xL~Bq9j{8Y&d5I}2Fb3@uS(fwpmp;SEwG(`N<0{Wyc!t0D z{+sm1V`k%kGwO)p;E=0>gre4_8Cbsb{Eu;bX%&lQvAf70f9tF4?r-zRSxRH*)Y?4U z+s2s`fF0H0m(QHwo1fh0{%FW-67&4&)7;(K=3#HZOcL|*nN!@|eZ=~B3e+Y*%uk7Lr!-hw9Kgp2z7xG0n#dpvfxMt=%OSFLk@=phl32Qy~`ji z3|c8hV?UP#Q!b#f1|ii{x^|RR-Q z-?+zLFFs*&eVuncxXQ6(%TQUmt1C3>EkdoSguocTBG?@q@&4UwBte9A=1Dud6Vfx` zrvWK9ZLRph^(*v;M;?_m-9cIVLvaRAW3?D#m<<}7npq&rb5>Rs_`kmXHE!OygA5^z zHRD0W-uvGnw2JX$LYAf=1j{R{Byj_!B9caeP=QZ5x{55#z*Y!h$kUR3zsJG;K70H7 zG+Hh4;e^q!PZ-vy)kB7ZJzoFjpYm(J`Wxg)okbxy=pXQCas^3;c?gbdf`!kB*sH8c_sl|$!WkqsO&^aopg_iabxcfcU=GvsO zW@CGUAex@&Q9w~iB$5L0q*q4+O896uw)9Iv74hI`#JP6F8%2|RxXrnC%7BpfE`O7J zG$iiMBcqtg8G3_5u3YRj80%Y7FaE5z*$(2!^ zR%Q6@ulxafd%G+jJIU(F6~6hwb;2t@pwKmHOUKc+K7Fu2QMt#z(z*ZH5(FBv12<>+d z$7kml?rqZ_9wCa1?|$n~35zjnNyv%rNfz2K(fjxo+eJ>`ET2Aoh9oZ;P5R8Y8XRqH zuofk>&pv~5(5yH3@cu3KixT0W8#Z{jv%$CD`aV$-kT^+^m3;H#wm4vKToOkbEiFU= zt1Byf_SA8%KDy5@oUx-lo%CVHnNQ3_`Bl`~mGa=O}IP z%J~a)n>B9Tet=0GCzCp#dGXVfx<+yPCIhK?G#+qqWsUh}$5+>j$~Q4iogL08AEcaS zwv91UU!BJds37F##%*TWP>Xg<=Q9LsMoyR=K2R8F*)div&_$S zIDh_WmX=RYug_qnIUcAy;#GiB3Sku(UyCQTzm^nPNe~1CNsU$zl1}=ZIdPhNoUygD z&VyUG39B(*{F$HS%Euq`;>FiUWxz~#mSlU2!~BrEEPa5i@($!8pYV&X{v2y7i=vj-~DbWxvk{ zJCD#JqVlzF#7T_9_F(=(FS^$NdyZp@cD+x#yN78$#cTBA#M z;TSTAI2`r)%B6R)wIyCCcR0$1xUfN8IZCN$G-_--yvsYi`!qT=&;!O1gm%nCmy|$V zDaLt*G6hJO=$tA&pfrXwEE&iNx$>}%3`43M(e#aUn5G0;(@%#icN_fk_ugX^D)x8x z8Q-{0+TSIs3VJB&qQ-o;%{RXL9aiR-dF|!bs3!@3cIho9MegJ4!gnzpn_uG8!ZOjq zUm-UYAKt&q(%LzGQC#7#bno+S{u;BZpG8&^cJ6JmygW}W(llxHn~qR3M!r)*%gD zV=vty-_0nh0wD!kk9M)60re!}?5R^c`|QiKI;&I`@?wmT0YMOAOi8`wEhqIxozgg5 znUiKIjtT90i`I!QgWe&%-T_Jl#7T=*y-g4WRPSbtcemKu*y6YUw|~ZGUi$(?QQ(ZB z5!Gln>O9!7+Abm8zudpiWQNZTr0}j^L(R-WB%&mZHqLe~M5-LHU{X1S-A4trcp{Odt zKv4=qyPl9q4>Z9#Uj^v|LMPZzBdTgD+DJkH;%Wk@G99NLHl z)#u}ljG4glosT}CnMcB)5y0?d{u~T0F+bMa)j`kU(g%#v+rVBn#VY?;V1Kus+Aa>PbSW`IEQ4PCw5G zgrcYnT6OZQlrEE=!nu8u#gtn zk3vP%G~A^_56w1J#j%xD?rc0D&wYCF%*oR{^TKCob{EOg5qVzH>CSQS#aGBiBR>4_ z5~Vdf^Xzjdq1fBoBM20CZe3??=>+F5T;%BJkf)z}nRmYPO^yzC38Na;RJ3R2c>cwg zc<=iY9v$>>La{iv#D4z(;~=OMb8(H;W`i_MDXWsQEWMF3cl?X5f0aMKei@sUm}#4s zGZjK5GJay)lC{6`_j>gkyW6 z_%GgX;Dq46e14B-)FFSCHE%xswAXAcFuwfC)@ultjzcYNf5xCfik8vUatWcUP)Xt*Tsh zl~?5|+aGMprmWT45@jox3M6F)fFOw>00M(Bc?J{i%-kH_{Px@3C+vsQGo*|!Q&Tk< z;NJUo_v!!t_j{hAI!9d^HiiXxG|dswVLOL<&|_`fVm=zOl}VPHF*{?y{Dh*N3+5Zp z$wQVVB|AmPa$K<5Pgtrr)-p*LLaiDxv;vimNu0k+J3u)_C?Ie-Hf(WgJmLe3Rj#{P zY_`RRrt7>X7Z?nNRMU)MVK}k0kNt5(UW}>KCJ2KH-AFB$B1X-5@4GF|0DKjh8#uJA^$OIIl@ zhPn(f(xS7hT-&HpAqhfR0{jIe%AS=!Rr#A-u8jvPmV{HSf1JwW98TV!!ufLDV32Zn zaX)uv=hzwbm}*RO{Pg2ATJxlXKAAB{rEo@&RO-a#PD2Vs6xV5Qt+KUok72)$5Qa(= za`WmHZoYScpZ?jOXK87fy`2ru5^xqN6Iv5f%q<^aWqpS~3T*Bd;Pcepo%!$%IW&=P!YwZTwm4v~}Rl4b;*ag~`Q zV|OfQ#sypBkh&3dgIOb7Gcu)aMUB-sYd33wd<^kY9;!@#}Bz=f+q<6mWNQjYke0 zqFD)euV}Ng9kP7-F`^_Y_eYK(3Mn#QTX#kwl)?&uEj-U$JIO>+B>?)a%Dt@5qw_6R zhavA?e~04}OB|UxgK(0O4A@Rnv`(>BP%Y~a#)4A{t1U(-Kco&kAH*m{P--5mHLQ2r zC5*8Q9r$hXkKTc-bH1_+4{FPUVMeRcBD0$JJ^3utvj-Rqx)jzBh9OD_dYv7%)^F3O z)-cBS)wG1>#0&?cghsu=g*VT#dglgtu6g9C4=@_%tlYkV4CDh1iZO<`(qMUMnU&c^ zDwUX-*=hE=UH^b}hQc~}S&mG~B(ApJZ784?N|qjPu@)Hi2g`hJ?pb!|^5=U$Ac_Rl zy(Twa-=p8z!JwFIHaPH^gJeU)PtJUZ8Cjz-p5$_|LOrQ5etp38cXlY-$|z|O7;2RW z;XGha04fmlhhwVM5N#bnXu(M)>ItbUD2yR9no!2Pd@JR@`OuT7sKzVX24xH==O>9O z!Wf90=Bq1`Z{Mx*1U)_yB)lf)d2_hS2dZ6ehf{=Rnm$xvL=fzW^38LKd2TeYMI|e1u_EPze>vSeyw_N}_d+ z5{|-2;y{rWmTG9}=9a0@uro9qY=m4*VJ?Z;%tu_UFZ1^n2kdCLl|}rYKb+?gMs!94 z>S0XVYFOCMz2Q3Z6<8Z-7Hf*t5iGOGKRLI=-6BUB!?}%lvdmIZf{~D9&T{GcdrZwP zv$iwf^6ok}Uiz~V{$U@~)PMAk^IxkeN=uv{^t8f||LyZX%S64(#?~4}r#x}y5zb$@ z&ey*E3U}^pA`loe^cb!%#1xJ&G#)pmHF2bP?BUbA|LI3*O*FW(vBtvG5@8tgkG}jn z+{ik_O8FFm^O9}nyr+l?!amMLK-^aqzGAq|E)0${-^5p4~Z)S1EAWJcBrO{$) zW{!4mKz}f%)oAz~lJxz6My=(&nc6&P1-f#&1(MJST1wFjLa>IqjHrl!I1V5v`DtYV zx*;NJLeWrFuRwR;3PWfhbWxcLbQs~&0lKj4b_VnZBZg_gXq?mTjln{eW&Y1Qzo#m+ zqgk!-^I!aVKK`MPkwh_(gwDv&&5ZBxSRctZIw#Fi#%1Ru4kHo-p$d4F87jq)=NPeC znPWGp@@tQ6@RLhBXeo&+H6kgv*Msvre(V|$fDHWi$CbXEQZHc3->2GAjRLj`i>+4K zv6eg4S@ty}hRu0u3jX2SO@8$Ui{vB2fiz{iJtPSt2H6lhGs7Rf|1vKekGYz`k(y$2 zs9C7Oy;N{8+u(4RFjb$#<{-7j3GWxWJdl{=h0|2_Wb?g~X1GR`x`I`c2-r8?!l zrbJrbl69pp&o@LZMOLu4w#js@#*yVEqCj%z-aYQ#UH9=cTefC>^AMen(M3j4q@Jr` z3vdo?VP$m{ZF2U_Oj3&#n|E$8*x5i^i?#+`N{XE^{=$pHzb+ZRuXFc2WX;CvT_&d| znVX-*2v6|WMiZ&XKZ%?u7jJ?*&k-UZNfMkbs8nk_^5h4QLBRILI(yq2MAa&XkDp;; zW{ET{xP0j{K@gw<=`V;P1K~f2GjnrXzjA?4vE7GpHIUH&>9_0@Ka|3f}lowRq=m3Tk!Ai)cNzZ3MX5F8$-e5*pL({ zT>@H+7%d$jNfbe21{Fly3u5BoCMW9&HwRSV20xd z&y;Z^FVxb;5XBK%kI~v2yi?;-@Z-$zZ-3|CF~7gY+OSWOG|9E5Td5J?DX0Yg1Z45r z$Rw`(I2*hC9~XWpsq>spXOAOC4&ksgniFjAv~6+(Q47z-K9uJ zG@DI^+uJCm=nV%1)x>Xtt$n~;`skU*d2;p8F%b7bJ} zQs#cmSQExELMrCx=P?cz78W>n?L4=4HmFE{98gM9I1l9u!Wt9R2E%lWw1p4!3W;8b?BOWy){@|@Dkq{i#dz{Y$bRvnRz{&tjz$x0K*@zorhR35mT?@|K$4vQs zolmPMs*&Jhi#=jD|Yx`K^1$`D#z0g`z4odA-GNzi*YV?@sWW z--H`!&SV&~CT6jNHmB-M?q!Cl$Z@N0nK3JL!zn6dFjPu`lK8Yf$`7xcm-pr}By<*G zjHjkrTfz(_=Kj;vDTL7k!a*35g)!|QBx%mlaE{L28d)djey79<r2d?V)r@)_#zjG+-o#mO zA|%TST2r$;`N(7JY~17a?e{Paq;L#}eF7oDS&p506sHSrT)&9xIS-~Q+l|9PpFy|d zcOOxNkbsk*-nv^mL!M%>k}=6O$CsxQFeE>>Ge7u)GY+li~D>Ytu=`$GMo{h1X_Fdra*cvL=gGx zkku?KAK}EQhq-z60#@g2uHOaWcPUA&f?gdnDpF=9>WI>K=A1=1M-oRkr7%J=*J^O? z{3ZJ3d_S(#9!Ox76coCk8AH7mlVd0xbjAa2>}~rkXc{~a?HXNx)L1v5)rbkZF?%}W z(80r;Xw^7XGFgZdML(#Pu##^W&`c=#lhdX;#x zN*u>r9c?oa3ML2J$X3Me(9j4A?sPU0O~r1vpd!ZH?yS(YLt@o=7huAoFIs?IN~sR5T#dA65SvDSW87pQsXF;;GpiH zvmsaH43CN-Iv;V~EpRf(Xcl9xFvX!DBP;x{Lkj29-!f(*3aO|7i{w$)p*OZ%Qww}9 z9`NX7jv0^feN^AX?2I&N5u&C48Z_q8VTun#((?9tVQ&Gq$>yzcaZ&abq z{^b*%5hpE5Mi^rdP9c?#=Y&x?kpOz>n1B7Hf5YM9v+QO=lBmkwAZ0TQh+RRXyx2Gh z1lnpWl0mQkqYG%*9n$X%n65QQ`(p-!0TXjGB~;te@Aa6NUt)7(g{Pl?p53)|w9A>A znd9!t4d$2kBV9nR*JE-z=FZA(j-NV1F&@$z^q8Al=IuAmvb21JBgc-hx^jbEQxL~7 zd)+=qjvZyt-y?`4vNXjy56Dx2$KzV(kV=y0Ia8B!6#WhV1?6LsSaXAbWYnX`QZk*E zG9`y~2ICA-lqh7DGc=#ZlVV^&{@}9rbM=_~@8|y2`AS4Jv zoGc9v-dR8>0vst?JFN8NQ&|det@REZWQiS=(ogK1@p53{FwS9|cs6H|-S!YXfXth0xsFp_aSAq^v$} z-DCcm;t>dC!0PrrmZuj9WXPyDLZbXt4Fplb*1a{h*H>wG`H}F zB-dkfUNF&|V7K4n?7KfCjD6i)go0|BEi}%n5Toe+%dCJvmM^Y8OD3gbVw}+(v^n$0 zQ|R#sr3|48xqj_D?|c5Eyz}Z0D1_nEsVBI0`4YB(sxdFUjS zu);*M#?IajyQ}w5p|4?Qjff|YpWvy79$|ChE_q(iH;z`TMLh_K60hVM=lD=~WuS0g3Gb}MNsATt zP19aMAC$r`YoVfi8{g*i ziATw`CQFB&0BbBs6fz!SoMG7bHLI<&1U`>eVXa;TSg@mE38G2COSE zPN1!&DisseCRok#!ZNqsd6l#MF;)hA>y0#pQjx^74zEeE3lo z_8%iRnlq0-O%Np3GETN);DZm}@d>=0`u^=iUj{g*Ezs#Co1{*MvHAx_1FLBcEN&(iJf^63wLj#w04VjL)L2T8ek zS16%yrob3OCXEMVmUVAiW-GD&=v_?|=k^T0dp_nb)-A_dmR~<|lmD=`#J5*MDyBe4 zfz{3*Q>FBnwK7&FrDD+QFgv|O5?0Vw5!I&{M~ZK+Zg6q)51IX@A~Qprj8K5gW0vQS z`4%mX@i?d55mc)o2t|@q8IJ};k&k1I#wq{&U;Rr?J+hB`{Vt7KgU(>YT_=cwxa4vB zC#`Y*bmYBQKQ_kpr6EJLUZqxxS=$^j8V#9@sx(`5Ze71ZqgG>jcA3lXyv5w&0;9o* z-JMmgUR4xnMzdK*s)(x>-eKv`5$@c+MtgUgAPRWr^}8gMs1!y)wK0Lw1-GwX!U;#U zK0#2@Uv#DsHYEr{8m$`RB0~j=q!KYm$2ePl=%l1li79%9hBO4}mtNu%(HClb-1#%$(eme8I23R`t`4~eBcP(ew#0zdWbC3T-$3i9gq3D zFD$V;a{R}4+T8B6G3f@s_;j7USo7s`-(?^h5LA%leBn^YhmQ;X^II7&Z+eR6eW|Ud z?s(%wSh`4~!s3*#MEJXt$az)H?CKysNny@xW zrMSwd*QYi)!JyZ{TFVO``5C_T(x2d*;nb<8D6$dXeDObV;P_LBBIP@;e2u4``#iNE z;q2RI+3jufndd%BmS-5J3DEvnYznWO^b-LhDG{#l#8u_Pl~(w;OJ@9}Q`nr?TKd5x zUw&(ygRLf_9`e+_n6GSUavT*|#>a&FQ831zc75~M!bH7E6h%}D!`5zxRI+YfZo3L-+a|kd&Z#L*WFdfMM#&&rF3l6by$c4vRGsp&|xZK{R!Ukt^s` zBb0S0t8svn0_iNy_&u7{KSt%bKhwUS?x`eIobie5nF**SA#ogY?a~$C5=tuewl^4! z$0SL@*1bDKQNXCTgSMJDtZ?(ldSAkCe65X3GlsE6gb|T0 z_|)T%AZHl{X!LNO432IH= zzi@%S@%t(Fbb_=6QUqQ@s6xLGG{(D?fB=CbKuGfd=;Dm?5OwAJ&8GDK6iPDEf>Xsd zg-OYp6a3-=oV%8C&Cc*_)}ty0G$y7Pk38Wh2o!lf;u9}?k*|O2j}anZ-~2w-x|(Na z7ceT~8yBu}I?cFv{UY1#9X|D;&ywrhTf0lmqaQfFa@rJ=iBnn zA{?28hnodUvyQ%DrI7 za8ecI&iO~NDTtyfaU8O~zDZVi6;!QKL+de8$x{2Lh@uGR9JP7{YyD$9jAFkvR@O&W zv_VNh7=@@HL`mOsFS3kYcL$w~2$dft2Vvk%ZZ>D-&OK_)I%zg$Fz|V^!Eg-DVhRJo z5=B1zQ3>S(1DQh6ZMWI&_1S6fv9Yni%AH&6w0k%iBHWOg_SUwf8Zb38!Qq3896Y!m z8$?Xb%z_QV~f1c@Sa1>~xE$o^bHQaen3}KE;IIB(X#G z?rczv0`||(a%lfDbMs5g&CP%@WJShkT+r?gNz;se-~YO`xy9P%2I)8>iXv+DDnb}0 zn+=xdCTKKbdTSfxJDb?R@1o3uI2UN`Se%T1Jj$ae&{*jx3PTV@G+UEwZ0?d}8N;m4 z(c<8R*K?-xVbgM#0BX^TOyNKQtcxA~`Ey~y(i9qlZmQDit* zBX<_-1Qq=DI?F7TP>>6SjuHY1t{9c$QRP20)?YYSORNGvMvVi0<;XUZm4Z9P1k2Hw z@9)mAwWpcUeGWu|xHd^_u3-vqam$K=IE=`OoG9|^flqz*zvHjI@rRg&{XF%-FJN@R z=Ef@b*6;ASkA9Y-D9Y)Qz&_aLI4tTxK3ZDwpbG<#L@}RR8Z#;s-`NV0d4VwkXZ+De zTgUg;0^-^{>-`?{VN8-Fm@r_g-!BsaCGE8Q?= z&DE6^{^;wk^W60}xVUncRz0EJ8F1UG2hLa@iU>S%C4jJ8)cY~El;zz-5(Ww(6!q2w zTN|sqaqd-)9XUp&3iW1%H1|3^YrKZhDN*vz{Fz*gNS0N!;6I5QqlF+<`?oh%)Y#4)3BN)pA4 z(u`)kNjB*4i4T6BKmG1Ed9=9?q~*rl8+_qoKUGey?1LSVwf9*LhB&NX&HX79r4hoS zfr_&HgOeNFOq+aXXA-P?z!dP(W5p}CQZC=zBb7}GJ7km%xw*MUFE6~Y5a%60vMjLS zQwJlRkriV!g12_t()))+q{Dq-eHfB&mSA}O!Yhb`|&)&SSm6kjw>kVBUnCAtPsloUZ@`n zlR6<7yMS0k1j;kL;;2HsQsa#`-{PI~@9@Q+`XX~PbKJOn2b{!|ccL&5Wsnr#VaIDq z1pe$iGq=F;qYrWQ(mUwFZ`1GFu01l< z-htx;IBN){WTF}%bVinqXoL|mjKFBZT8*Fkx&Mhg?Ndn{!FY$>)@|z5Ce1)1M21UR zw2pm%{&2|b;&DEH>lXjyKmQ)RUY8?tO9+S2pT1i3X}z*xsO)?@NOa;>X)u~OlUbwfXxW{lu8nnoDXH-c-|&T;D0 z)AV|KRFewBbVRd0!EiXlDMu35IC10*JDqI+9zO9f0)=&Br0`05fgkNUTaYAm&R;!G z7*$E48lEQLCsCnP-0Ww3ZDWD!ZAUlL)U8FLJ*wE2b!jKcpS$s?f+C~mhCclIz)|Ak z2chs6uofk&-S~Z3C60)U{A)uNtNnB=t~S`vme@{EjVxUZg)!JT;!dZ_jaR;d5)M%m z4>EC1IKm_$2m~P^rxzohnHq5{3fNRJtuQL9FP;}?@$Y{UUj@HEeha);#;1RCos~Ut zKT>?`eNS=a@j8NPJB%F2xa$14p?MS>m>y8?oU4?goTzW2)4aYFLYi6`mzc44xy1qJ6%8dhj z)!)xIfcedj&Rhtwt;ym$E`7cRU@nvc14=QeY*(+Cl>xxGo=>ym^4TUvVwu=Pj2 zV~38iy}iv;wL)uhmMl#7a5Jl1kRBYkfsH3AQQ^X%f2OwW#a=SH7V4zpFmJ)Pr>?+e}UIC`*! z!CA{%kud06Zg(=;eZyuxq!v~vOvq&HcSZHq6wg2ZIbQzmSBRwG_(M<8>+Jb@L{cNo zGm~WmQ#-9dcto! zew!ew^YNWUPMJ1N`=gmvW$L2*yOoq>`rmp!n}bJMieGzLuzOB%We0@N58k_6$!!+| zWqeKIghfXopIDe?wlc{>hmLV%ZjovnF&?auk2a{(_hI4|tE=n0e(@SxdmFs6eg`Q9 zCr>F|^T~U%AOzXOBkg_dZsN2h=1e5m!ECH zc=>C8&LHg~WJsse;RmmsrBbQWoS36psp6!UGa>Mx#JGYKjswR|(P*@I-ZH_K94Vh7wWAZ2> z2qY6zP43(*{0n2K7+ZraQdAg`gpn`hNl$A@jpB5av)^pfH4d41{LzdMxb7xnYdI_v zHtsq8;I5z&z{M@gd8eqvf*)*ybcViD?3<{vkz0mIg{IALB0yQ`CulbJsfkSUM=MoY zgDz@hn5~6qD+tkqp{1dUvX=xpZ8KMoSXkK4U@-6(Po>5vFUp0puOnM+5W=5BhFOMm zp0jO*^$SB|n3|g5?sdh=>Mag0?q}?JUIQuxx?I>4R$zssm*-r}r@4G5KudwL_or$O zbb$?gIzyJ_Hl*_tN2dwGh=m5s1{uqV;yvlW{8%ehNOa%_7^>t@I}sp+V_z#|CTucU zuhXhm*lX{hY>px&*EwMv@Wc~Ov$?fRWOBl-b%rKml;~5_xzqpU#u#YZsqzZf&NCgyG%G&Bpgd0-{L(W}% zn_DYBjlFMno^;sf^>gR=%;&yHHHpw!$a*}OEw%svAOJ~3K~$$hq5@15FcAsn8#Tsz zJN$6(ELne>dJJ2)ZlN3`QnGJx5vd1Uy?U9t>Cn0N9zl?hRH|g7HdkK#A&mo&R3i2r z+huQOqf8t_ZZrWQRy$nmk01(1Dq_yWn#N$AuZ$bGN{!I{sKZ}~kkf%-e=q`J$+af8 z-ZYIf^m3>K0g8~tKyq)CvR2TRrS%EN{exkBgK7|wIfs^sq=sgr9zsODtX~=grfAW z7^7)U%yIgCALsk$&Qft1t;RIDl#ETml!R0(=9R!|h_&N?f3?Ph9240B;rzRd2xNIN zISdA;1PY6CP*_7Q91Umr{;K5{dP}VJ#z?I(p1JGO*w#Uya6)6PXYmOoKwJLc${GN# zKv2Kdu5jY&J1knqR(q49hYm5jFvsPqUtyv?!DMrauU>eYt_vx!MAd|EzV$tn@@`lu z6=Rztl`0``<;70<ca|tr8aBcNpaOw6<@+hVehb+$>pxf`Fv2@cRQ}q@bBh8~#$7H_AwdPSCJuuIB z&;hN9qKKP=5sxXuemCINUcrIp1Sbz1WTU&oC{Jl7RTe8X-n@Mip#-r@IR5C20RtlxLAa!l3El50WOGeS;?O+Tb)+Ql5peg zeYwt1PH-#FiS7?Dgetp34kZ-E7D!k2afJU`0;v#1hLeKJ?KXFVfTtdMjO*PtyIUj1 z$u#5UB;UMwjeZ;0OKd2+COoHbI3qE;L~{N zm{1h!Lk8m>*Y95BgO7in?W>nKdi*%Aoo#aN&DZ(xb021Br^A)oHy92E)GIA+udGn1 zR+(r`(i@G4t5t7Q4kEU9)_Ld6SFnX=Vr!kVyR*Sy;4KM4Mwp`D_R5V1gcE>fz0Qj- ze}^!t@ZpbsmfmR0^wcz4ojsx`U}Jro#$*HIB%?gX1^4Ik0YV4{VTCG&#Ack|-1Oh9 zdJD8AnVIL#-Y%Q{9!F|9(+3Z*(_UrUNP7JhUKcG?81urxV|?V%=dr?ab>%wPoX1YT zpTGFaFVV_3_*UBB?|k@^>~A$Goa6k}cR6t2INv|}Rp#{`-x$R7!z%AP_5@Fzc@_f6 z#?5z`Y&MyiUSiPwJ-Yoi^HVK;`t(DjV@tcYM`2P<9D9;r>n1DR4Q@vZ6sBOMKjO7+ zi<)WEu_KNwEHK&|P?!P-q!#+!KJWkV&+x5p|0iDi;Wv5enV(><*WpL6yOvs|#_Jb; zK)>7J{g1pKr3AND-{ZS)f0qwE_8~@T4=JS=99zp&wZ`{f{RX?4(vp z`BvKGg}B4Lal~YlvYtmQr#n1Snd5t77}*g~F#^VBu=`xeR1BmiCMyP2)^|>3BGw@c;$3GfKoqU{VAc;W%jA&tk1K z!nW~q1vYnYv01;%{`o!JytBj;Pk)7v&Y$B?zW1ke`+a66CW*qBZrxhs z(83YUJp35_P*JXov9+~Guh*yD9nkN$DOW2Pqp>{;$IkxYLVR4E%3KMZ3CyB6Zff?-L34^v=Y$o#dOcSxnpcB{w9X_s@R!c&v*o0B*A6Za6mu@G@Qx5|I_<^)kMK&J_A z&c)CBsG!f&k9?W$z4)we$JC;o?Lkv|Tc=FU)dLpyA595$hsmS8i z2G_RNKzbNs@TEm)6jC}E5hK!U)Ne$#D@$BOBZ!!psZcBrs8+_gvvh-`P@!OD5wC#} zoX$%=hy{Ce!T}?; z7Sae(9diEKPk7?~Z!$Si!w5lj?Cb2-mr0V8yjKC~A{@cQ-W&oA%4!=fjm7JX(vmiC}SKjX!_odlY>SEj^;v8b8=R&yV^Z zcCJJj#jEGv!Im&ynPhJcu3o&s%S}y^D>0@bjAilB#s=aie~J!cR%C^;zsBckg6-Be zLm^piZt<^Q{J-&SAJ_5d4?1`^E)n*3DCIovYOq@bzqIioucs zl`39%`gvYD_hZgoc%8@Ye*z1^TbJKqv$4aspZXO#od#p&8adDBVQ;`sqgl>~HvjyI z3NPRAndv2L3~g$5#8orSW3_2k)^0Lan_y~uAG0N!KVH3w5eh?gHA;jaREn9>7>`cY zIKR5Wo}$Cy(@)WC?sBM5X1Tu0oY43t<~APFMloYjZc3ZOwxN?`3*Cy9aHUY8wRxMh zpvC1FUSC<`-guLSJ%-a;$4+c4A(_xEYI2UNp<+_jsi!t~?J=ZunHLFD@h&&4Sz>A7 z37h@NCf6d1$Qh?3K1pb#=+6qakdTTLEmADUVi@hxjW)6EacZRsT_MO9?j3n+U@(mE zOH~SEHR3QN=no0w5UmyEQVBIG!bvGHI&;rRAt;w}*p@?WqQ=H{126AUD3lPEpfpwm zBS}8`y zZD)rvSLVQ;1FTO?anG?6bndP&J2TDx>Nt~?BD1A3Lj}WNfQVdHn+^K5#kcQ03zp4e zk3P!(_!oalVp)__fG~oM<_=#ua*`xbJhE^f|MI2p(+8?XXLolD&Eb%4&<3Z<>6vj3 z&doFIH+XN5)hJvPVPJT6&m1QvW(bucCgs(e0W(pDisP}}9kLJ2Uth1#mnlcfg8$(} zi+VD~`7J>^^|?66@in)AuyYJYT!`mB|21Cv+23MW0x2C<)>rxZ=f5#(QtD5^klJYC zMDfCt&+~)V{)V?Ny@PMN)OR*{;q%WEr75LIc7*Aay5_-mGP6k|1J_}w%E9}#3Za{II3z5x~XfjN9nQ#O; zF0ju}=%yCO>@HW`8hxvR<>ZNmnxcuh97{@$L<>!1IoxkL1W7`{asfkP3`S)7lPLPk z5WyO$*M$vI2pkKhrYE^};a!M*j4l!;3H>l6NizteAweZN%dw>)saB|1k?I)RaY%tQ zi9Usuq-jDF<&d_|TD^(q6p&U-x7S6dS=lL06gr7n;`7$eOo9w@Hm95<^>RU_f zG@I;fZ_w?xc;Mh6j@AmidHxcv%$`;!_U@%rsIa)SOlxx&r%=FhZBk2;b1WX*w?L&> zVrzSY-Q8VW%fZU~U}x79+chLcaG+M^*;7Z+z~6lIHgec!Jm+WMY$|2CkmH%-2N+_r z)ogR+?H`gGn_!rxtT zNK?M{`LFZR>p#F4!wXM;J*ymK^tw;e-d^AyX`uwn|E-XaT3Out&C%- zgkif*s#6@-L!@NZf;ffjCPfm(8N@+mz(Zve+9(>mK}L+kkof)x4zF=tH{$^y5GJ8L zY*Q{z&%OCz3Uw-B}9LGUOiRTzn$DvZbd)HjzA$$)znPO-4>5YyK#^V^RHT-hn`2mkiF zeCc!du~zS+!Wi4Jk-mo(S?&DIx8G*(RF#je-X@6@#xfYs$`qF)rJ(KDEHAFIK5>`D zl~uNyeM+83Iq%c$c5xlS?bRjT)e6UT_|b=#d81?VL_TDqQeroVDEoq2t(Ye&n$5dD zf3j1gU~lsW)(mg07zl?Tv-njQ4avJcN@y^KZ$A62j8C95-J;MImX+;!JjWwe*$=^W zvhTC6KKiAMM=^@4NFi};7tfKXLYdz=JK%QT;@n1_e{ihFi>of@cAb$}S>w7MvwKf4 zmG{_bY%{m_5R;_}^42ZRuP&3Mf{G1!DT$Hl zHxWiFt{Qv|X|$mc9#m7#I- zeh$YeAdSvifFkpwt5jiBL>xz`)Mt5XgSL(lmf-ovPUCn5o|u|O+79!x^E`O`46mGf zg{PnYb*jZYp6_NqTA)aqOL~knNO-7Z^H#^9V@YJt!4p14mgo!(+N-hK2}optMn57I z4&A=s&TD_g*MDiA!&OZ;Dd2cj_U#*EyjH>*pW#3hu(Y{~WeK7%WY7Fwj_*B;)P1Js z_j05*&PR)vk2(|I zKXi;m6d&{w9T%X-IW;*Y3xnq#Bk7YT`P5RV#H0zPXNm7KcxU+JLnW-7Jb~h;I z%UF)b%H}oZCT1w*3pBbtD&-2%FrYS8BOC<`mIo4vAC&ugiZEKd@u*u0XT-70+B&F=ykZQuPM_{RJX+G?;9rkfm z4=06+3)=(3neicgStUp{L6S0zV`84~R#qlUl8o;)imrd+CNjogSpvs{N!#GsDOR|_ zM1F#CJ9|o)<{jR9{R(^Xd92QDUcS)8c5-MjKwB1eBJeE3by;HEB>eL)PVlp>0e6-r zX)NyW`***`VBqlGKmQ>?L(0_@V%Gcq}x|aSA2GwYxIW>zw!MpC-zA0txO^v zm-cpx;V?jxW@2M08AJ&|9N{QMW4FQ3&e&&>vAK8L;_z6&k2dqDB%$4FvAB4f^{s7c z#c^VlW;rflNQ|c0Z80WO78jSP+eKuYQgH;A(;}zR0kfXV<{)7qXTWyBGW_OaHpks1 z{>jJ3xE5MSG&h#6;`%N(R&KE{e}IBW$)o6OF5*ngaq<1vID6(1!Z=~fx7b;|%7ky@ zu+N~mfs<+)o6D4P9&g;XcwJSAgI)e;*P@JPd@vF#;j%Y!t3EjX@tj$$sdWaKLB{)q;0V5JV6*@jK<4rj0UBmtech_9Ufzis=MM-0*_J=o&?(x z7%91Y^&OcyO;Azecs*-&~BcBCFu6soO$voN`8)9D`2a>hC5zE zI1)!lb~{aMu`hdS@0sG=^Y7pn3TPx>-LLrjGdH=`I88Xv^m`4a7Y_3DqmT2>tt<57 zkXn8Wi9}&&_v_?m_OkcDA$IRvryKUER4e2PHXo}oPQ`8J3m$Lx9gO2(Ig;ObE9K#R zNARqWh4GAOw{L2ejg2)XD>d@2hi={F(B31gZ>*9=L-veUS-g3X0|)M5XX`GL#UhRR z2BrOF+PkZGxg3Z0EzoUla%lb}(w1DgyvEGzUN*u$Dh%j#`|PxK$$1WgG^MUVIyq`~ z%o|Ao>3Qs1I7zKG$=#J3oIH96BT`zuJ|A4UKrQdl7z9js9yfMEK7UWd>3h!78?=d{ zkmIxah~tp63-_?GwT$C=q^U;OS#%~9cBWmFHYh#fNF*4eG7^xKpbTb|_;h8qACwYI zn$ePM35`_Jj3a!~>`;(qy(cjWIs=xJpH{c!XwfRjJ~bTM{*SM4M(Po7OkhhJU)tPz z>?{*wUnCJ4m88URj4dS}UVMkOon>6(F;Od#qz085<_ZpqJfA zH6vb^&S2offPeN1xVB=hTqHCtEL)Q5jIW%k1Y=2zl2l6-qBx{j8l%|_aD_(t9Kr!*xxRb{Q7j;(q-1F>F#%DXr^}jV z5>u3d;Z{t`iut|A8hp^$!zSQZk`qTyFl??t*ruPK=A%1za9xjrkW?q<$p<~uXkwbn zRZwvsoeVj8_!OxMiISLn&f?Csx2a9cFkT&JYxOd|S0L}_@T`=Im!Y?$ZQ*GNBIK>4 zi1Kq3Ng*C0;{j=*N+KkAH&4M0DCP6C`a`CQId+C26TaX^C+1MEfewchtdw-1aYTyf zH<%i1;HXlj&9MwN**Az9$z_GsI8tJ=*U<>qB}k10pRnt+!nFiKf=ROBjNc)pEmi&1&dXBa1xt7YL? zj`s@KTG1E`=n*qDHA^L5z|9xAb9V_-nPzXPipUq}MIi$txRU1BibEzVW$LjSJ&f|W zj>Wi1P}sDh0DJ8wJKcz|Hp7HW=!Oa1VV_#TV|y4<%Xu`ym=iLhHyF@wZ%}k?lq@hi zyO;XX4N}VjlYo^1G6UmFiDzfw-A@=EmL*7$81acbSYforcN|vjajvBvu8OcUBnAeN z;mCHIuT7a zIhRIz4ae5lMTgz34)2}6#GTt4v>P#NIo6dGy3 zv2!ERepcA>OXUo$5hdJMHw^GFY0Q*o5z8YqnlCd^8Aq51CokDEJB8Mo`pyjoVT6uj znw>U6LhPwblcE&H(Zpex)xKRfo7WqGC9>?8u~J;y#&c~_vY3(4DUNFq2?)qBX1j#i zP#B-&SHJmdZ2iq&a&`R?=1VL zX8EoE_d7VL;KI$(N4YLo-Tvb?Z9U zUU`wdo{Qbz;<_#({UUQRrJ`C~3~Vf?z@xMC*kQn%yPIHJNS%@h!JdgJ&J-&A;L@9{ z_In(eoa2G9ab8`#%8gEoh3W*4Ow94K)&&|#%!#>qe&z6KUR}J&x!rXtBhnD5#*vEJ z%mQPc#j`44JA$P~orASJQ@H|m&S63r>O;k(Z;{$XW@;bMLIsSV-+r{gh4N$-5Stp?ourlC@gr)P1kt;{g1h`v_>&Emq9Vo1cgRx zfktIbz|=;Ym_f&2+k!X{EUzx|m1mzsrO@pU*|&F&S6<)2wZR<@k#-)ZR3;h*^mccs zw|flxUD82>o0nKgN-8sAWSS(*Oii;ezn_hr4bn70egbM2hP>+#B?%IU(}ck=#_=qY z#4zrpJaSxep(EJtr93ddk6LMhIXFtcM5AfsbS2?ry0JYKtr^e^_ zaeSVFm1nY0VZ1bsQU)SLXeka9%N&`S!xI+MmPerB_{C6Z#6qsj zQqaV;T&lSo6>p57zed@DCnrLN&IIjVf*Hh2_+^kGG7PxZ4)AhyiyrYK#0aNiWe46@OQV)v)T`Ewc)vgr>Nh&z;bVZ2m+ov za1Xn;KL(u=ciWVTC3M&$HyY`Gq9GAN(CM{F(=ydu87Erf%={j%Hd{1;9@DZ!CrTO5 zJ8TRiDr(4Pr%jT=c&SW39+1!HXzZ+VPs!&)CeU#gdsM?XF|&{RjvVLhOCK^>snG0p z7%Nvu2YtS9=mfD=y!r0OOij*k>&`76d-$uIQUSm7^7omV-^btk+?P?n4?lc^L>}Q6 zpZgYjuifO$kFGFQ^cf6O{@%Cq9~BV*03ZNKL_t)(#?`yGS?LU@Rx4b-eT@?b7Z|Tj z(u?{C)5iTz50DuxJdGnzNy2W}<<|S>2zGZdmY01S4eG4lxWrDqjYQIJbs0u6uIKWj zmww3lR)_gL`!k)1WucS;rAW0VPE(RpWls*B5QP!0V?o8RxwXl6{@r(Y>WR-YH8aQJ z(j|hhPdFTK`;8xy8iVB)hzD(4)#oRRTkJM^RGrM0EToOrj8=s3<;T8+Ee*#PjuGs% zMu0wzV|x_x4&DBcM8yaxDdc^ONHN0V(73~IJfisD-pSDl{LHT1+u+vH74lkA^Bkh# zE<0OG*kOpH1v=VdePbEI6jxeAVT0YRRl4l~McYSN36)Y6UxW;MZR%ThIdJp@!LSEW zpY^+o>_2u269x2pTdb^HV|w8Py?&3P6SKB&kA#y@%PmoZxKumAeHXxHMudj40C(&gX$)t?ZedFH{#aUGuv>nprhU&qSj zDIxgw*~d73`Yhj40lPo>KHoa~7^m)gkZ;O_^&kEPzi{6p9KZh&emP%Y^9O&o9|xHJm>{1+0(q^>5eLD9}KghRK; z%Wc8yul!_I8;_b$43dCTQQk0nsQ`%inAy02q`V_NT?iPZf_UO_`b6$9QdyiRlIQ zRL0PQHbN%|onplUTs>siZ4*VBFm{OJm?TP&QuFBJk8|YcJy>Wa#>>>kisbV?`BH&G zp+G*Lr&K87yDql0aBLSTU6h8&@hbP8x|f-mDdzSZpjIBEJxIA5F~5L`~iWivwHx^BjtlZ?<@7M6~< zu+-hRqf}DOS>=*I1{&Q^!t1?6ga( zu};5TC+-G>VT@xeN;!vNdyBB!Ms<6X?36)glU}RNu->5LB$&9xu+<`Ibr^F(bUY-E zQ_@zCLATFxRA4Yj2>X4G?mNhy+7uI|G9|}hx=?0eW|pb3GQL(!7Rnr&nq{Jt$I+Tf zF2~&X1jW2h!3Gs4sLUwM$^C~Zx;7;*M?CCvaDJYgNGRv>FdTBv!NZg+LB;b)25k=R zIY7yCD0x1yic#92Mp}5KQ-&($*@K#A=Z0*jlE1D8OtcqSa8j163a&{=l*C1omtd=e z#}{m#*sCd6g1Fzq2#YR0=wL(z6-a5(9QIl7?o#h|=mb5CC297%__j-@KOl+%8jWo} z`uGEGEnY{YDdk)~!-g3_z1<)3SWn-&YQJb2hT023MrrdMvG|M+Wz_hjzCS!GhM5l^!sYs=)i4up! z%@%K-dz0CdJVFYm; z;CL=dYw9~|Y;3NQCNaHkpSr)p&ejG|642}QXwqMQ7o4kzxRF)%ulhh zGhk(_g-)}6z2kTop&-sw6A>A1)dFIzFv0<2s8*_s*Q&(BE~P?++{7$m$aySnB{-68>W5pt#E~EP$27Zcm9dxWolbDN_ zFK}Y4$Z*(Z(5!R);sX)_|X0i-{|<7@-M-1{IQ8E*1u(4YAf}ndQqCcY17YY%#Q| z2&0LN#`q9L3hj=V+hnVVED#+NhAE-#up11RaPySPWoCrO;_4=U_5ROEEthWCWpij@ z+diqtKsGu}iK2i&*eDx>Cs^v$xpSq#zH*td>LhO3XV~vD$T?U+fK)M3YP3wT#Kef4 zG&(@8D`~}b+ADWRQ8c%2Vd99#r{_t8K-dBjjU_C?V2DW)k|<=n;_~>DkFt0Fe%^oQ zZFU-WF~+7dj1hJg{kAL%VOb<;f;NUQ3<;AcL);1nj72z1uq=lce&JUrjaAuPyUWtX zhbZY}^!pn$aobVIx)@t zr~Y$nEtwgYq_+&i8qA|1l;?4rls9%6Z9l zqs3HZnnHPsKYRD*Yz2y(lfgZVPC-f%VRNWbqbMC7Ja~{79{vJJnlkf~m-vGZ-{9Bp zJ;`Io?!$Lo{=>N+^Mh*_v7&_2hfi~JYn5L=`#4`Y^CYcyiyy!Hb6#6p=D&F6d5#`9 z%olXRzdQF+C<^M$O|I5kh|~gUP&y?w$!DTGslgn|QRq0#7A9F-yv<58qE`~264J!Z ze3E2Sp3YEF%{h?9bUHm|l7v0;bF6J_v9{SH=SqCXrrS%v$`s>Cl47(XNh6F-(WyZ= z0>>8Ew!q8h7@L{`Bgy3)Tt~1PTPUxHSE!<;ja$eQcehw?1#E;C8*jahbY1MCPm&JF zU(QQ;_Rc*XoR#-L(Rxmh$SU~4n9SJ<#H*(Fd&H&#`8Y?Zoov<$8#;Vc6zw3 zJ3NKm`LLH9*A?%2H^VFzoj@d1^oZ%|G}y&0fgD{BeAa zfKl`#g}wI}Pd|1NAq~SIM#%j>-p@Td7h6}|CGD82i!Yb+q3R_B2oe)I>9=ZP*j(eIU3K)hh_S|=biAl-JAHU0za?nvi(N=u% zzC%pU?&oVdp|bN+;@m9t>SL5kW9%%i@W!ca zZ*5{3cN8xYr0TPkZeu9o^7Nz6(A}yNw)?E^_Skp-!xS6~;pRZPgqomGe za$^&0ZEtYp{5i%a#)+d0c~o!oNwguwAhm^3F-oZnO0L0jY}DvgoTdiH^RcDm`~Uv` zK`Y7R`~en&8vb;d`=0+6L6SiSg|uk5>U_O$58r+DXYBR{$bv`Wx!A6YkOpn%*c|lP z8TQD#F0Sh{HBn`HZXYL)g&e#85nRh=|FMVI-tF=5qt9|_XN#RA#Mpw+XjBy8c?DwC zMW-qGe2zGY&?ehy*_O~@y4@`h5)%!uixV_^iuqi?KYgsiZ@v_uMT%02ylgXQxkSPxuM@(c zOHZ^ou>UZHaseR>p0trNgEV@cgGxf`^*Tk-#RodWkiO_MJ2i=vB7=5*QfM3Tx^*1! z%C$@UG=YTz{I_4am$H;hT5a+%Z0$4wK#h|&$SnngGW%K86Tw=4=BShkuTn05sHK=UC`k6|%V%#fG5C(s0g5_H= zH?N8BZE$RxTD457vd(-M$9RsN1qh{KAOmJ5YoscrI}B;I zyToaNmM;AiJkQ6rZ9)NgKhOAB2}to=hcHn%QZQD|)9DRxY=_y261_pdwdEaxAfVmt z^YGb+nW;Lsl_~nK{+Kk4un=Gr(l2AI0C(~*!uHU^E=EU~BmpnZNUg&@28}cdDeTO& zpoWMf#nu{Yq{MWJRYaQNP9H-E2ZAO#39=i53US;VX%vjSrzs^n(- z91@lA7q5QE_GSZNOCC6Ll9iPuq*-KncbktFZ!d9E|GqPvzx)y7#a*r~EU?jSQ7q;WsTzIE8!S@3e)ciOtK+=&(TD6ibbvXpjT>#S zFh51kS!U1NEPE;*z5aj$(x%(*(Ddtchdn;HdY*s$%r__|A!C&?M-Lulz0=|Np+ihX z7a1#-sg}#6r8yqG?-5paw)m^JUqU#NnDo;y^ysZP78V>=+U#oYWs&OGoqVXw*j+&qVl9HUqqRYC7h<`gw-Km>`JAc@A0|Jj*6Y)aWe&g`Ah|yM!c-5>%@3 zZOC~}ri!r*m7GJbZD@CTHW93N?U=)}cX9@3U{0*CM47;sM|M8+1qDCP&3 z7cpsyWhB>|9}pSAd_l7Q@{3%ts+_eoHCNK?1)RzoJ{nrA>^6{S{AQbL=iWm46(Y66 z$L}t4*RL{0iWZQhir5%jKgX%V_cB?Xpj~fqcV(HzJtruR?Wb{lkFx^oL63I7%Z=q_Vx4`{KDPfMLf4};{Fn>NS2%U}B%MK* zI8Jb!Z0DGK){!cVz%vGs4oIT_r{It)R=`NgwJ8n*waGF;m}wk~1&?ZBnts1Yr{AGa znZFuODGan5y|~Gy~*F8f--w;7`CvW8u*6_qclbI;ZaY9JBKk)>alX zX0~*=e)Tf_VIQSqqz!q`X3*MZrE3Tx1=^!Cz7NN82z7#89LJ-9Fg=WwC$T&}TD^<2 zwZ@NDRw!?;GcX2!bD6xKqxH_;a=E=t+1G4tZ_(M;A&G|B^475s655?EEg{e*gX5=K zV+)DWDX#0#>kiOT&>3{FEgRplF`wk8gu%1DkxpIHXt!x~Z5$`lN5)FwS{9aN(J#jg z!>@22 zt2lvHdZdld&YCs`ZFGjRQXwYlVUNv`3>#Q>A-gWg3@~q57IC+UoiAe-CP;OXy$NKR zMO~5rr8H5bc;?6vo_zR0F5O(C-|f?Fw>We1DEkjA@Q1Ix%7wVV=j?=32*RL4UKM%n z^aJdhn&AD9uV4w6c5|06KmIhKaQMy}KSB5owiLuFLuQ3>%rH<2+AEy<@O8XW z4yWK`88WBDnUjw)kt;Gazn=^5zRxA~cT~z1EU8H%MW>f#&sSv)zDGaH~^yi3- zHfc#4Z4A{)g_EaGa^d|qSzTU5J2sW+IdrOU=o3U8G%^1lSMU8~*LmM*J|~}>L+6ax zNCZF-Ai*5Ope&D~EvzMx%4O-FiiQ^jgh50qZQ{8uics;rFn$v^I(R{ZVMx?lE)}_YE_5Qr#7L9`LzKSmarMi4NZ&eAbln%ypv z1P3Sf)04>1YeZzZ^!+^cLJt$$sp+l3%NEn*_k=fh#J;EURhftBG5R`4f+R_Xy zSMbRfULx05z!wUel?_%mAD|c++#urG-AgFwr0!>UX5T>yxRhHJ+HHkoQYW7^@VtPo z7ounq$)risa!^%4SBh&Bh-kOQBvY-|IRDOD=(0>cnI_C+cxUP=nilZWpZGjmJ6oK3 z_$=*qi;JuO4ckniCVQBkonm-&4~KhI&hE+cy`>P(4@l@K6s<)7`2I=KeS4|C_Zs*S%d5*gGP);L(Hjc6TsFoD3jmMTp|=ws?8 zUEd;~&!QO$?d>|l;|Gvs`6EA%gg`cuDCu7O`Zh``gQRMpnMkri=()(62?X)qB0dCf z$Nr(XQvcz@D508JWHXCjT|&lD=!r5GkPG_ARwt4@(MXGkhll@ulO}&L^9pwABud~@{a40{3)vStmKUE# zM$Htz^6}3yF*tx_TdbY`5p)~Ky943alA&_=lV8JDlU%=fhvy!7g8%qee?nUJ8C7(` z-NCQpdVkMCRuzN@v4fa8yGnnG{fxIRqiHtRu`kvSK4?MvVQH0b)E= zBx1HgT2G*BIz$ekr16)ppXct~HCEdpXU?2vtyW{{!2|AXtoyxh$Ajnk|b5 z^Y^hk0ZKQZ>vvdKEzwu#qt)&b2JFHlB%&Zd*X7v077D^hV5r(|p&q;dBvqwR-a*K5 z?k{?>i8{zI6h(TFmdmDcJ>I7@$Hcuo#@(d=&3OJ$6xm6iG zWpJ%kMb-^eQ%5x+uc{zR+}U1ZdTS|$-Y6=e@bE+bBcsJfX>3W3Xd5XSX^n}VA?(T) zSFTi16oZ*sg@EWV3miQ}?Mhn~d~ls5jlX z9q7k(f?QgsVGB?c6h%Yq_JYD7WNc)N7oK~ZW_g>gAL7~;Me7E`0|Oi#-A|}$gpNz; zy>tA`&-@P@8Xd(ALe5{h$npLWo;!31Et%pAN6+$`@4m%a7G9VfLN-mj&>;+6LIl1D z(E}M-mJphRkhS=LEh`W?ly^#WU6+)i5Csv7i*w{t(C#*HLNFAGOhTd6i3p+yMUm*q zYSh~nK?n&=#V{ZWWHPx7EvrpBouuBVgVQ3PlBqW>B4D6sAV~@vJGI^Ior)a7W~oaA z^rRCA5fVrURZ6n}03ZNKL_t(CrfDKc4n2h)8nr5e$^Q69UtT0=HHoAJ^xjeAT{&0$ zY6?EA8t;C=Ap$`pR3Z@}q;OX^5@#IUodvww4#G^48kpEUBkfj1!sw$H1mZ*GVHocU z5hN2SLPX4s@Ekt%$0kYqYC2K+<8Towe z*^e_?>}BH8JEY7U)!H_D4;*25WQ=cJe4A=0Xm;CZvP3ArR&~$;$3>A>x)H7#dEbadXB}Y<8=XLr z1;6uOe}@fU(0TPOp4@Yfp`<}XK%GA9Kv1c7NTy6Gb&D{FqwY=HL4*;-OoF5)v0Z7! zFE~YFd1ZmW`t!TwG>w#QU=9}XFen%%6a6Wiz~jcnDV&`egM}O;14G=Mxy{upm*^kc z&&wx|V>=#iy!Q@Un>$pZK8lTqFNPknDt~0iPRJ4{3P>`t8|}_RLUc`Ke7v9i;{#lu zpGA=ci#r>e{&l7I7C-(YHSotMrY=I6im zY5w(p`YZ0-U*q9N_wb89`+0u%&tBvD+!Cg#5vbsV9>4mtU!hWK@H>C}x0t5MfA^)& zA|#F9`0YOdS>_*q#c&o8DjeEV;|!w=p*kC{lK zXeMpjBb!dr+dn|H(P4Y1#Q69Kzx?&Dk<4W2w(9)tTj%)k{o8!~rO#n|0ls9hUR!5d zB$%4pBnl#2*Z;{|xDZWBa-wH|8=G6aeszkWaF$xD&9&)^c!o-Q=^fgg50LB@d&dW` z*K2(FW6!a7;t*2gQ!M1UdSi~o^$pfa?bt#m1hS+Mi3qn7plO2VpE`hRxxD`FbPRb2 z9S$Bm$n(!UMs>SP)9R8iGI*;qB)kR%>k^0-y-Ay#mcZREV@U?Od2g&wPG zn}kY=Uw&MNtj5){jn!(?b-J`_HJZ&P-DU?>(UA-T*YOEDCH(n&)Loxy)gr4WNhC}Z z9JEA=gOd|Pod)@oj82=;fi!ljh95R@q7JEyLAPC}zo4S37Wu4--)Z9dZQ5>|-rfQt zp|Jm<0}wU{-6jJ?4b_k^RG(6L2Na)lu0RynxSmCCA@=+jT8Pyyf#MShonlc(Hg$9} zgOH%xX=6!6^wCG~knn69KMYZ28CgX5V%M&Qj6{ee#}9z6nMjI8v5+OBZ_;rimX=qj zHZ_XHhiJ4N!saH98&Jp_@%c~4I8Hzzt>E|pQ6%H~f_x!M6gVhGnr6qQ-gS_5m9DGN z*xBLo^n-XU3@m!BMKV1@EG^x`&GfR_?vRpY6g5`fY;2Thmdm_6zfrv17EYHX;c5@uf?gJNE_~x33b20M`|4w|z9- zAeT*HXgc<01xZ9?5<1Nm=&DKEw)l%b|9uMC48G-Zbz_xOI?I`feK--Arq0~01gqLA zx8!xCkbk&^^TLo3W029~`>44ZYb!hSC9`bT*67O>$haMnq62}08aWiw2~v^ApMLi{ zoSGPCFll0_A#Q36MKw`E@%NlLNkUU&r9|L)+?%iBxlXJ|6cM_vGCMoN;@umhbcI~T zz)faYv1@$hv9lPOLg;iTWHod-g5ATrsq1JeWK4rF5JalV_?|J2E^J{(VH0=iMhRxP?iP6z<_Kpv*Qz^5syhLXC_waoOMbRl1dr*gmDf%U(-Z7GyES}fm z_^DF_io+vkpXb5CG=rnVOz0^N9X&~{?Qrze8OnBpXI}Uu3mc0Z+`pHZriEF^A?t$2 z&OAZ4xyty&BnOXfFgP%bnj9pVaM^!&lCiy$2qi)P&>$cG_;b`-7Ww`??5xg^%4N_3 zjov<;tDBq5H9Fi~P}x{Nh++w>Zk^SwO&&je7|B=YST>vGO=@kAJwy9&x;7h?HM03E z{kdUe8ETCkdJ-B3M+>}mYnj=#25Bu#CM$7wxkOSk$tSZEauRn}OC)ujzDy52JqkCM z)=0=2IirW%K#o$^e4*DY5;P8 zB_~M`yOV}AlmGn2Yxq`+bhg0hV-qZHI=uh>Ia1S83@KeYfrlq&QGJI!eM9KkB5yC< zpBC5#tYBB$i9JI9Ir(#JHYF2y~o_rIz$nzb_Y!rm620Lf5DE{a5+m{ynZ=d6!nZ!OV7zM%t(1*@RZyvi5#*kg7@&?XXF;xF7d&Q zX>8j;R@E4FCCjmy!S{*6ke%&qyuiZ?;vs4nx*R)tjL*FCJk@p^-<5D0HGcQf51Dnr zN}t455Ak5*P1b8QltKY>c$oHFoBIn(^bU>?I3D?g%H`|#xGn;Ug9&um${wrnTnMaxg1?0NM#TSoefFwXg0#CDOj>fx-iN}Z;rpa{uj)N1Z@(W zdh|u=BE_xFWpV=(9N9O{rEh(U`<_ZAR5^Y61*C-q5-aPZ^BKa>qg1W%?Bpq&j?K(Y zjZSfdN3;Fx$@d{mKfqEXCMHjzODau&mX@BuNEdkQ=~sAvqsDTl3p%*MK?;1Pw`xp| z9w623k})&fU)^Hc3VH6K8@9K^gx2sCl4{dxx*XR zW-&5Z!qyf~o;XE)vq})9IDc)5pZmfWNvIn2PMh~G-QLwxhw-=r0J?8$1VLLpQXx&oAZfvjP&wX=akM0$7xPfOCPxokEpgqc7PakT?4 zW^I(d5f)?_ON886xJM`iX1UB&(RZO1oq6#t&bmFQ1{+@u;mX@Ts$p zB54}^1(lLh;L_$2mes^G4ZJA8^?w2?5=j!H`UJ^rmgC1B=E}8e^z;V|^rpBzJwqoF z)WTjC$_AT_6nZkla9@{&dV_!dU;Z=|WgWr4CQkx_Iehxd}oWl>d?F!Yfs9zh7I zoIp()6jE6_PKUsCDeaVb_1gQqJ$s$wqa!TsY+=hPQ8rB&N=%nz7FRY|t5_JBBumvg zojccAU0)|%NHH=o!oXl3scwROqXTGz!yGzzgs$tczO_m|okcz%v$U~-jKs11NBFI8 ze-k@!$62U0(31(u?HYrKl^3g z`n&J2Z}KoNK6iqj`rPNaKXae)u^xN!scT4j92bfRh=PEW9UJ7@l82R) zQ2O#n=`5f6sV{STVS#U5zCcP=sMN}owl|2vfLx})!2U_9?IupOMtNtOGp8SC#cDD2 zV4ixtPPN1|rMa<=rD$j(;>P`Xmger$iX?0|GM zvT$pfTHv82W5zegWQ+t&&!%a0c)~tvhUzSxK^7;Qs~qh>^n3WlYNGVNvF~b431&h z7Ls9NcP);ee3Wv%OoT)v>!?aMwzd;7w&xI&0|PvGutX*S`alnwY>-Z8xv^ftl{C~S zw(sdOsIrEuBp4ap%jn=DeQ5)8sGn@MNTGj#%}xhXl_By`Rh5ikVuf9@W*RM@Wpw`m z+TAug?_Op0zJVy0F_Svnmswuk#0wl$Uq(wLu!0EN>rfFfyIq6~@f?q^)8b&Rz>uQQ^h4H~HU9GA8ywD> zyfeQ_R2<;V2Y30UN1h<9M||V%6x+T>BZzqX@KNsHwMiv(j*Luj@y=~NxOtw#2amLA}DfZ)0S#q$QPmYs(nr+gOp0D{`2~1c5_OE`z-MJqBHykz$7Bw#U`d z3bL-CC?;M6?_d57nqNmV@^oC6%d5+{DHY+G=)0>9yUYj8NO1Am6$Z~}tgUUZvbBlf zbUF9F;K9lYrK-wMZwlLafaf{f-`S=q1XJ@f*d3c2_wJC*X31xBY?Qb8^Y49=vA$8J z?@rNDCI0m9zDYuYhGkPox%~OJ|B6;8!f`E>OqMq;yv=jRPcuC?ODKV&5#Meip=c_X zE?=fp=;6JqHyIln!*M(^DU%PbT%g`=F>FfgQ5xK^70iT8-D+~_gSVOJ>t$hSiSFn= z>WwO{=aMi}xNZj(84*SZKcHi`$oBS8tF=iP2^K3&hI)$R^JxwoJjf$^4^nGv(c4p` z6{)OlZjno8FcUg{EyUbS$m^Pnq9`OyohP4soMN$v8p2A~p*Ayx?MDpnJwVb>iJDzR z#PLgo8Ikb0@ zM#tvm=RV2e+zj1Xn^elcYP&r1!i%hIlvrM0XCU3>)s-rr-P53_c$U=2DNgMhp;~WJ zS)U@Q%Zwg4!-ZS7sQ4YidX284Gd|eIm!Eop;r?ERN9OqM?VHqsi1)WPX}9atkkB-f zYqd?boElndgM|ksvTL!nx=h=%xqkN&W^RynyNPhx@hz2ZB1;jWkjdt9a zx)jp!Eq1z1-n=$Na&`(mpGB1=LPGK$8-6k zbFU(+3fZj53r{^wQ9H_>r7B+3h3F^Q*kOnWih+hRadIjGjD*P2XsvUvFnnkv(lBUDvEb3+m;1Vl`G zIgV;A_UTQ8o1=uzR?FhwO(l>FladFdWu&e^6A(!PT?jTp9g`xX)KEQzu3@4`u?k*N zBxEVpYRIaD6bTeXCzmtngg&xJVdxMf60}2|NcBi03?wCkWXPzJgc?CQoxtySs8Iq* zi6dM>2+VYbq$;DO1VT|rBr-I^2-!4AnLeqUiDzUnl_2g883wxUqN)LE41|tT8bmmr zOGlQ`vROt_5v`qBhKwvvy!;HhnPhqH7MC|VRI&r)eGf-K+qP+jGIkJAcLP@LPBGFq zz-M3nB0>>dx^oTJb&yn*0Kt!MUSfKFmW}2Pa(^E~nLMl24R)fCAI{I<*$#&_i)ORU z(TP3|jzD7QB!1UI(-QbXrsLQYa#>2{4SsOr2D;S24@N2Y)~8?!cS_1QpEW1 z2$7ND@PNy=Hg583fA)K9%-`qW(G%<+9p}RBX?AX0qL|N9F*RzQhh}Ij+=IwlWc=StFT?& z`Se--@4xDl^k+%RI+fNAM#4Z=HSVr0poo}VohanEvOR~IHaI#w#M*Wh)l4wk z(RlHo$^Y}29?n1T`LB0MoJhIMHb71H(pSt=2}6RUiCai_x9^}r43FE z4RL$v0d5$P$z(nnb?h6SU?W^3+;yZX0AKt0m;c{DO3|b3VPxNFE}Xwa)T}Zy`+%)h zi!I+G)t4p`GAUK#q5Vg>x4y>yLIQj3CYDoU^2lMvCibEPT@D{Pf+5*RG!(X z5XX9Y7!e*XpL&8GRbqAd8YhmQC6(((GgL-K#|W$v*FxuWZ%drUaQPlA&-{oVKEwK_5KRY zN{xAu;424Z{L?}R(6(+QRxmNsn zUpny+zy1sVE3JB&)%AN6v%UQKul@^$vKc7`t5GGXnRL4rKl{?hdGYwO4EFZ%u_vCz zYumi_?s-&MV`*`YeTR-vYV1(0)lgIoq6kfixq|9$Jw25v@bcN0I68iWh0P^O^$LzG zamx}+mt@YZhNMlKUwdBS(t3q0FVFt|J_`8(KKb0I@il>PyBspITw0r>r`W?+p8h1B z=dw|+l1pXSH#C7FDFl(kN@J0Mfebgld+T3(l!ent(wi($YqZ!mI>BI1nr^7^aNhtw zmATECy(a(WdV>4gU8?O4hxQ+2x>RDy)#-R0jtq|T@Z<@QRU`q+t1HYdukcv97ZHX$ zbo2;+w)8g)h!8c~!=k70;`k)jrf=}{V^1<%?Bo5pIo9eWR8_$XLX<|A13TL|od)%4 zgPC%RF*ibSg80#wO~wiZe(%{02C@b(Z4U7>Prg7-Q*n=2p6rLdo%e{NcZ+Dft*u9IXi=@PNFY;F(8X=EAl2c=z5- zikSqz^0}{2D_5BP#vjrQW4RMV@nLxvo-WHW2}MPUK#oEp5og*INn^`)`9}|>`R|7^ zEX=oQJ6$ecyG*%SA(8^NcXn7wB`Asx|N9A_Tq40AUWhQ{Ag-h%BN5>QA)Y4qm#<`L zHY^&E!(4fV2lICl9m>3#mqqN4G4;JWlJ$~`!LG}y? z3Mq-%O2Ga-M_Jlh=d}yxkc7*l`zLww*;lx*waC;~i3e-*JbLU5E9GshjR8|;yR^-Er$KQtL?J~Kg@i(I?%I1OnoifZk#l+a z^Z`g_X$6AH&N@aSfvuXPi$erzf=t3-quyli*a3zI_c1kl7d_j zVPl!`K?z-jjH)2(8W*iBrx_orhF|H!fbKzO;<(*d!AsmKS1$E{dcQ zcpgH8xM7IzhNyao7ey?WmYBKy0f*BCUU~c_3|Zm)m3JwX%J``ip6nusgP4klXm%au zm#3Mz`vFID!<>ESB#!5B^X^p|l?Iqe&?E#>TsMdWLKZ}!pc{G!H3qPJ*d#UNkhN~e z_;`_@8g25cvnGH2H{ZkSb|{x6BqhPk>o>VSHN$(imiUc>S!@xp6_})hE{d-b8gVPu z7Xd3G!N>Q6TwG7`qYG=O!JBNXl(3vMosP>p?_K2ToqH5>E>C5)xfo>df&ep$c9Zpj zsrojNsuGHT=1ztET9zOPvFTzYQnZ_8P9B@&bB{kpKA+*Ot5>*FTF2B?LLuYTe0uGG z!1YlT6+<;?Y;N+&Q>Pj1??p%wKe~K@)lL&F6hyL&>$_yr85Y(T(G-QDOqPH8*{^V9 z_$V!>O5%_H6|0N4c=!AUZ_V!G+D?e?ZtzDx_y&&M#&QE58Xx5U{OZ@S1DB_do?xfd zA)77G>NLq`vo!2Fx~h{f(*(pRc@h5q(%5xxm6u7}q2663PT)VcTKRB;d>3)r}Q zpH8R6mp=9aWBVuh4}b6<*{;_}7$&M3dsiY=Lm?z|yWCq`qg<)8y}m&2U;$qZaiS2b zT|<=>R9WV~TyNkiIw?)#hZo-@qbQW>EmqeD=+Ec4edjvDYLXBV7yT4{Zi_uejhkJA zWbd9J001BWNkl2-7%q=W);@M|tF32=$+Yku?KOhWap;Ix^ z`TpO&$?Ezlp$|(d-=UaI(X=hP^(Jn|rq(q{CtN~bCh!6@bbj!I^DL}XKuDC<8>G`$ zsI^*j%Pkz;q2ZgP4G*HkN3GEyipO@6_F;Q8hM54QRhi$vZ1aPAI@NZ9>hv^iyMv}F z2qF03((O3yp_}|)ueL$+2y6*Ym(dg%fl3sG7)Wf@9RA1GlLUTI#SUPEhW&=|mxkK*U;kMMEYar$`JZv(#29Y?n6i!+@w0Aj|V? zudJaN29gM4T8<(U$Pyxop&qmSIdoHDqHh?*7nH3Ifvl0%LUJjWvYV!Eb(kxyGMP;? zFZ=j~p4dC8#74R(iU^3aO&=c?Y&jhc_8#Yj0|)r-58eaMCDEwza5l{sPJWu}_vd+M zZJNgp57DhQIb3S8C?cOrlh3C?NXV*2fE2R?G(nFcQMGN-g(2R5{|c&U z;<;U-(iVy=p-K`$4J|AwO6ZDDsEy1vhB+aa0MQ4(@o zpBKc;2O(&5I-Kn3=Y<24oU2?xMyBie2;j!;8K&p{b&RQ!6qK}qkYvhMo8tqMeDTa# z{_=a@V?By9<)H}q?wfD%-M7x+1tGaalD6a_%gSyqNG6P+n9k8y+osiSk!s4k^XB`s zN_FNI=O~vH_Uu2)>Cqx10}Wok_%^<*@ch#+GMdQKY_(ZiS>mJLmS28M;lTcfd3Da>{9+e1o#OC;1KhMM+>KQ- zszNA4%vP4;jN40tS$^~EB1`@dYl+kJX44E6b0iZwhHfy}Ge~A&2xDQBpBY#9=g)Te zji~|tWYy-Wf=v*FD3ZX?H3rA}xH+?gXLab4TpFg%QoD<)NDQeCuG7S`iX_uXWL-y5 zBxG4dk_5iz;JXe1@e=O`F;x^fAP8c10z$-gaY;f}RdSgOjanNi3?PVq7*Z6*jDj#C z5CKAgAOcB}aXpX8@iEREK1sD+VX(KKAHMe%!j0%nrde)VcwU5H_j3fes=r%v2=GG% zB~%qYU5#QbXcQ8K z@r%O~A^Xx9zV`g{m?B_gaDc1<*=!Qei%<=NKtRpw(6Vjv#R5Us<;3_X&zw0;N>La; zu#dsMepZ$@Nf`!;$P!9|K!JJ?Ar&(;+YJc3_?#$dbh|cI+eWc%Zr!?tEX(xu=2=}{ zim6Vz%F@hT64`$AkpfZ2jdxtzRqW;}59Sw1q!I+34%5?9WU?8OsU$O3E|N_3kv%em z|T||Z+TS(Q-f-Ft$U zo_G;GSm33jX)3CMp7(*vrEV(2KEfiDS0dWP`*fMW-buy1gjcRn~zLNi(2 zS*31QNf-tr1AQ!S?O=BuWYa`5O=ML=QZyt@MOI`a0iCYJD^EYgPrve2w(A=V_vdjv ziHq0&6}p+kb8PnQ-^Y$`V=c@P1rdrS^NF+1^3=mm({<|{m>6bkWP}f{-@*+;Y{%jB z(I;7HHgL*i2m&&x1aeoR>vsu5h&&$|5sxK=U}R)~glST*R8bU(AP7;DNfccrWf<`Y z!1wT4E#yETYYIpTEz3dyY|FuNe0<->O!`P7BCs9Qq=|zAs)iJbe|QEG!p9fkuC_tp zOZyc5*(W9;c?R8lmnC#mHNlmcOE^K7d@@NCLesAj`YyE%1;eV^yQ68by5_WFxl{P07r-HGglEx!8nHh=h& zkFePgb7p_N#<$&M4Nr(QtexkC^E^}U@b7=R%k}q9vpIL%*n69Az48Jn1s+&#FcZh? z7vI5q!{(_|B)jB09~{sb*r0AR9I#(J?{I>L zOQBo8!|pH=aQyN!kMquZ7rA)tHnVw0yS2nFIu=_?ysR+E;H~G%-VMgMPsxR6pMH|} zE_}e=!6BD!4@8^siv`_s#;h$cOfA#KP%Dg+2dCD8h}L;L`Oss$^!h7oZEX=!WL+X| z2ACPY`juz#)`R_PZr;4ey=NDkJS8BRk`A$UfSPD`M!0>B_usq8Mb~k-x6Na2kJT`c zW(R!vxi9d^Pkx3!`HMg2)eo;S+gjph9vZLt3sw{fqq6Kee(>_^yzu;g$9hyJ?!oRr zKSuUe+nAcD&O-HwHQHxu4gcYX-{TwK{I?v82b?}}g7t2~b#u12w<&3$>E^7ieZ*=w zz|SljTN`X{p5ofA8?4p`WU;({@d6K)j>cPV+_*JGtO=_S5rkROGGAn_-n=>iVU{;9 zU*w@~gZaF{2#iD4WTY_ykrV=FPa8hCc0+3;b48r;ay4g4{5Xg(l>!%poD;wL~uagWuoyM=GK=e~2A$sh$v;GqZZVK!^oK3LJ)mfFrpp=TzC*j&it3g?6?SFX`@ z4U1*VYUd6&Z*8-fwS?mEIg;8InV;v>@DbNfWU?&yd%y8jHn%o8c=-)RFLav=O4G4C zvBf+L)Bwggs)?8r9UFee*S_)vj7dEI&LvLWeFj`*yB|1v_5^l3Adf--Y|e-`Y%OO{ z_9&&`eakx+-{#Vl4;a>KX0s*x`#a1Q3rZ@O4o;tWn3rF8nGe?k`Sf|-i9)_PXqctw z4QDXO-kR@R{)SStQ|7b7;@BW9XS{NAm$PT~Ie-2E-jA84v4|JFeZ*j>I!=pVF}!;7 zCcpHBFR|z~B{t7?DVN^I*2+^)Ji)_HKE;(+euUkaVY`kh!rR-|_`>5)aL#&e-rnZD z3vXgX;KYny`1CJP7Yp{onr1ntSuWTQBVm0=F%@SVn;T1>Id>PII)9!kSFW&ou;M@d z_!U9{=L}zb`bqxI7e7l*nLm2|dFH3KxcB5~p1JET9yqndqmSLsm0O4WZ@>RXh}Dkz zXFu^UUwZZ#uHN3~rJue^J6m8IgK-l=`msN5%AL?0_JbT|IY-9Q37x(b@ zEjc*cV}G@eRN;f|J)VEOjr!13ajH@?qr zJ-y~{uQ&YUvcvR;eCZdyz&*eE8@R6H&h83h3m4x1fLCAtG2UB3j^qsg=vV$O51%~2 z?v?j>$}RZj-#*X#7k8+^asKg#xw&4`d9CZ#OTT055Qm~>ALC%?)D>-MxIDF%|N#Xzf$qy*T z(JmGkqpb)sl{wd1kN-Nw$kxfzJpRbjNDSP(d6T>DI?wa3ya2{>eYMX=fAg2D)5v^t z6YITxeN%Yi6Q5?$&KQP~%a<;5dv_btINrE^nU_9#4;)yp`Qf3c4dw7~#UuAU%$FW| zf=`{=;_1(Rf$x3qFZtT9eT}#N>3_?m?Olw4ul?#T@wv}^hKKKakUx9t6&`x}Q~aF= z9^s)y%l(f&%Gq;UJh`s?-nYNW_MtYQ|MoxlO}_lxvs~Lb;7>nz6P&|a?FY(}t=%~D z@qVC8sTIiun={|Oy5g<><1L&-E*@sSy0Od7&JMQ@?vQKY+TI=hr$7BV_imiv$>nKo z?Cdbao?2kB{;=bb zQ~UgA`w&w!pV~RW)Jh){3oAUiG2`T!`}oA{Jf}}?ap~f_T)nl!?(Qz`6LX|l@YLf^ zFl$@hdiMewiv{ap&3o^C$d@+P{MV1|Qsg0CxRgmHG3y%s=EWcL?u8F1F>vnOIh=Ex zIJuyY2Z%VDW|M`VQBuVDmb=g2%WPwdKYQ`}G|n;3I>Z)2Nd!E*!ycz>BB6mC*=R=G zVT^p^l^;^V$nxAhI0L4ck?5FtLrF>djjE7KfC`v%`x2fo*@dFIvEuvG~8uaLIG zE;?rO89RGDKYitG)_Vi<`I1XFK4i7OOIRQ9)eDdExletX5Ca=$&$1)C%w{dOcK3MY zwKo}JVYytg-LENWibR&G=|JiK-_i}rt{Nys*vTV?N)*e>@4Te7?9LKG#59HzXBK?6 z`3avtdpGH>#5ex>J;q{bT_qc@@w26n($s{_k*-U~B%XQp^E~v#ll=St@J*tv*!dhM zmCI}4!Xb2xfs(+0mkQD_x0VO<9bUWe0hi*Ociw%Ojg2ktJ$o15f9d;-|zJlN1 z<;9nOf}d>=;>h^Zw{g$Ezy~*O@ZFP}yfi$-?V-?>N-FTiTkmoC!^^B!yJQ3Jeeezs z-v1yQr%n*|dZcFh7`VK%OS?H|IN0XW+mS1Kw=u0{Ed~iABC1bIIWwk#)Fnd7M4vIH z>LVT%q$$=Bc6WLE(ns9dxyjpCF7bs2?!^j(5J{r9!_Aww2yT}%ZX{P_{f#hXVJE5> z$`s&eyv5Ya)#Q21dOo`mc(w0X=R{izVk=tnr*XaF6&ha+YfddU7(&#SAqJ{-*aRkx zSZ5HiP%;^XkLv`nry^6e3ykB)zxmdm(&kK}GL8dwHk$%{L~rF<5MwkeolF(@_V>R< z<66czaIo5;-Pk~YMyyf+B~uFQ9qy?uX0{~9k=?x=wzqdE7_Q#A0U;wleTnKF&KW{U z{J{^tjc*&8#xr_L9|!L2Z&OUe?KfZIPv7_nm`X2>uJf!-jv-n-P9 zr?nNx1Y>Yg@uDA+SVxsgN`XM-(b+WRcCW?OryoN8ud5$#5jLR+=c#ET+dxfbTM_||e*3p4Kt zsV7EwJDlR_`HIhO7+za1a3#{13E49iV<5+=WuR8gyTb#Crg6Atjul5q2Vg8m5vE7{ zn^eJzqgvsNgB%7`e^(*aKphj_S*jCciUD>;lY%r|RsBu23Uk*mZyIi{_8?^Dvl$^3 zVol7QgQ>ze#lU+10AHaoj?T3#8;dCrsHASXqtwZ)l}0!VK-^Z zgw@JBOk=?a*?A&Alj>N}=Mxd6=-2zX9f+cN<6P@tdtaRI9|&-ow0`Gp5Li zt|5;-#WZ+E&Pj(imYh%W$-5hF9}FzpIqQQJ#S|9J0t2L+G_DEZUSFt2ZQmk-SYhY~ za*9Z;oN}ibOQx&?DK*>-C)pCm{in`zdTYU*JG9!JalwYI4f$Ww^B)HoNwE;v`tHnkx~<^44bZ{67f~2qtLc< zigdW@Fb+Zt0Dhpna@4VY^e>I#DVPhv^F@ z7@9IijKv#Ex7G3D&IQ(S)SycdWy(^d#-LGXR6@zxIA4;Yj$?x4uvR_}V{2NTDQdm` z(WT0BPw#?1!AloceCCPIQ)A%H?lxo2bWO*tgMt6~1>y7O&f~84T)8pQxYJ-FbD1H{ z8N>>Wn-jtSQfaNnSj)_pmc~HpFA?oRl+ZKjNLiq7ZL#4wn-(CXBT-&d~R3!rlrohA|D4 zq$6}nfeMsVNM&UI=4~E4b2pdwZ*g;HpAuH&6bU!BX}X3OdcZN1K;bz@}I7U($$yuB6!kF;J;INob!7BfiYGAz{RArI1h>cn%k~(Rx zY0;p_>@53nP3YHn*AlZ@DvW_tmBW*@j(nwriR2biN|bUN>pY$BIGIl)DHF$tG`jGK z!2M^=(lsqH7h;SoW-a^cLzZ1jtd*tfIPiOfkUp+NsF*5XgklQ+_WjH!eteyL_!Iuc zcW>~UUu(E_>jo#cPSd$YV@{2shCs~`A+hKTl|)E|z63DPuT}&X6pFT*;_tjC)uhST zRmeGlc(RB#3rw@R2B;Ut81<#`7F!G{1R@1%{M1@z2x*wyG7UvCB^4U)i7DZ%XAA>< zf1qs;Ui0cwDHDREsET)vlmaCtJxr{SDO0zrjvT3Ee53na7zS{L!+xYt@ZMug(GjWY z&laP~n2M?7oG9XTmzbynBMw|aiZcAUXm64)j_WtCbLR9(HkO_%*LG;EB4~)gU~s0P zVyB!)%Q*DpuBGvgk&H18>qYq_1m`Vf8pVpKAdZ~1bLmlwW>W&*D)mmbyns$^kY3vD66Htv&5W|X)Q$dW<9mZPHq&)Xd7{dt0(6kLH zB}B?`y}gLam;@olfOt;~Bc-a6CQb3tIqUaOMS~$N8Dkracbe^;A(jX=u^xI-h@=p) z-g9EURfAyWqT#=T|hWdIL+S{dO2sXMk^_6h6+v`P$(s_SZ?st{SR__W5H)1 ze~Ow5t+#CNAMm%Yzkr*7mYU=74tMkBb>8{#J$6?+*pFu-Xk~Yql12>cFcL~88m+^9 z>8;n;-nq^0ut!2PNJ0ctVYTkr(zXmG*F6!zpb)fC$ z7|~Oyv4)BYM4Luu-=l!@lmZ55oCZOdTIsr_-c+VS%#^H%Urw5yZ=Ip>4(lKqLrewl zoW3ZzPB^UKoh9UvoYGP88&X9-urO%RZrdU_LL30_W=5%60an+CW_~*oE zenQvKbseSXLz#2N8p~{Bi=huX${9#m8!EiD_@<>_kJQ?0%&&EL=jq3hlnYIxQBbvT z+{R$c1h)g$`N<`#|3WSm6|@+P7;?%JfM7^5A!y+KQLA4{g_IK;OI56tqqH0<&KhE_ z`n#2kz6aV4)-)ZBu^jYktxT;|$5Zi?nlzPF(C;Bo+Zc=3bRXvKoDSeXReMiGfpBF=kqt&}p7(m;wCZ|5||;jAZ@g0U9o0PD$V&?W+_ zN`=K@hO?ep577=+CG4<5DSCS}jl&v4426<))G@UZVdP0^rBg~F zF#V7<)7l0DsGlpd@Rl)WtQ8t(5sZ#3wc?wGjg6Lm2#C=|EQCNU3iYCaefeJI7gzvzAgb>wZnw&dDheW5t>V?=2~-Oynu=001BWNklzTa4*Qj&QG@0Azx-Qr zN#s(A1>BSyEL8~ks7uq~TTe^_)Jn=)JRD+V7$eqJa!RBaX**3lDPv@eBVr7WLP-hR zRPq!lgji}ZgfU_aG_Jwg$`Dk(UyIJtwF*)dhV6WZF+$E$n8!3GT5riY()WjGEm*=? zM@~rz!&GRyjxp=F<}IxIo?Ns@YZwAet2cMsTEdXA#(^=!9Cf#`xt0bn;+qS067$QQ|2VI9Qd^0<~1wc{2N+G3zQVd4G8mo0OC9A?Xm5CD6 zRHmFWh@ok%K6piUn-mgFYrqst5#_oJ^nFhdEhi>roG9pGsYuOaDpK|9)>=qOZ=zI` zdveZc4{kxdNyaGX<0p=)mWi8GE{)bui+-PFS~XG`2vK<};~G*-s-m8C#2D2}mGpPr zHlA9_RFPSTVPrSlVdvluvYfN7Ee}1_@VmeL3CL?MTYTr#ILpVVbF)l z=m?f$#XFC+qK8i@lxfMw=*w)arSeNRkrDsUFblz`Si)csqOdq z!O9Tkr&IzWMadd0dwtJezxo2-{PA}PuH(ke4&A()DhDem>nJ0bNi1k^)?&Q2bjJ{& zTAZEf2r=7GC4@1$m!OBKh#dEKS`oeBa>iOuOof^g#tUsXr|TNLZ;wxLYqY?(Bnad9 zbFFNpBo5ar)`vYQXS!BT`nJ);7v~&p+hWj{&H0w5X=rA%NwT43WMdpKlM#`sH%l&p zoBD{72(=i*Xs@WXUUvlNa7}ZpWmD4CO*?_UI7Pr&Psw&tN{ga?w!t~aVtGQ@^f6F! zz>3uoyc9+FCXx?hj?q7bgVZ=EqPn+CrfszDM63m)%8xN-m10f*rEfazdKG=ZOF0^) z6^V4#;bt9eH`CjpR9de9lGsVXEV{#$6j`s=jD4>UU!B}fRljB~(>^*qo*^W*Z{H%0 zkups!3iQ}xQ(}x!12c{8PSgp+uu6CuXDGE!+P&#;6%QfM8JIT>RfI8n4r5C*OZ3Ay z<))h{dZkRBEeBMbm!A)p?>fW(@bn&cyM}-A|FqQh3@1v&8LvA<1+l`7y=|`FxruXz zuYc>0d2)V^E(@;FQ=_5=GwZa0n_9KgGV8VNXS3s-sB2rzR}e$>hOi#6#b8^nzXW4I zGS(aNkjORZiQxoO3?Zu+w2TF@f&e*yamq?p3}Z@=k}jS=Gn;myC{^5vd?`LBM0sRrxxvlhuzn{i^5 zQ?uIrTR#qCk164^@UY9gawCwMO=g3dk*ozlt$))EsmUPqrH1gtUCax_L9aXTI_LQ0+?j^vzKEEcQ|4@hCai6xgpKlYqxPk^bo z8jraknMMVX2_3Q)DbTN1bln{18akf{DKM6-h>kPIJcl=aLfG_SsK8=2J8nae3GR=1 zR8DDXp3sxuvzX6GxzbcmmZ+p&DWufvEmRepDWZxGrVn3V8ikhi|Es%LiVCaGIwc%S zNQhVr8Q*$JQfXr@f-`}}H9#SUsHjz`R4Y`Ra%MT;Yy&uIjW~hDY|i0&t+!JZW{stY z?k-}SiYBx2Atf8EaTr&SoQbI@EntPlw#3-$OIzhw7kFfoDJe{_w$?)ybSDX8fC{N7 zI_A7E)~L|)n2`$o(9?E$%hy^FqYZ4%b3H0PD5W%6ARDO*o^r4H0#yipbAv;aca|TLu36*Jte985sr? zT@!Vvia2ai9aqg&iAN*URB^_#SS}fJ#YjDdtsCbkL#4GdsvDWl=8Pdw(tz_VUDpsx z!F#KyP0GlGxa0y&>y?bf(D{}zgyXq4=d5GrcsNxV)`|N7=Pj72?69O`64n^#$3tbO zt;IHK3ZqtXDTEqmrKQ0Vs?N)1O26^Wad>#BH&WZ;y=UmxG)^giQ}el^YbN6`7(5|H zQZ7&v&Ol6=g=sO?GTKBnM}8W?PA^hS`8Z3?O-Q2=OUacY2FN(;CrGzWDg%cY?VOEC zRTZvjh(nJ|pA%FqIV%N-6w2iP$q4981~}sgDNrhUn>o?_sOmV~I6c8*4Ai0^OevLh z(6GZefeF^QiRa1F&uGcD&^9enbxf-$XVf?YQb@T{k`kg-Dq<~-^~d>ZwImkv8Dc!P z8jKa35u9(7Ahm|<3^`S89-ruhQp!|ODb$kiz6BCvj=cHiPZ4L>JJ{!g>vuT2waIes z@fh|F2UZ6wqJ_3?$hne|qLZ#vy!m)LL#-^W@WJiOojVop&Gg0@syI4R+3=NF&D5G$ zl|o;Ikg9sltxVmU_ITe&xniAGynEj=jtVLmtGcF~9VKZpW}gR~_l)b6&h4WAP8b4M zOBy3p)EDB7!-~*3&wfd|lw%NS5F!+zn(4H0Mq9EGYL57(!xSYXV~jd4i_lnA4mhJb zi(jlwt*Ln2LlRZa;?R!mGRShaQ=tEap*o|Fcx z^OT&FLO42QOT|z7U^a$QEwx09QH@`TdNAagCzd^PaCpdkHk<4tl_Jozb8_r;6q|_2 zG}YZ(qc>QY{`(4yDe7k=3V@VcloVfdwB9Q@Ih8}t=L-;Fwc6KYeeCfbVr-=*wXc*i zA$=(kioO_j8e??@5}b8-C&U_c_Z5YphpabA<7S9;#4utT!L;23Sa?cO*-;#W@?uh$ zFBXI`5M#zzJE5)9PG}rugnoU<+ZQjey|cq34?M&_{@U;G)T0k`{_Gj%%Oy9r_j&8` zhrImWYrJ*yB1M=oDbzyZ?8hwKnp>g#KpffT0xPYu^ZknLJGZ#F zw@)V6~MoRY@RL z=X6R@8Hh{>Sk1~I%@(TL3IR83$+~?>xgWAYy5{Mt>G-s6`aGa0Mfl&Ueh6DpFrz?_-4bK05EgtRkj}c0wY33^J&xI5RHGFwb z#v)aT%yAqUhY@Qke&SH5lO#+8!C`&N7F6${L zz3s%1Yhh=9mzXn>1mCq_GUG7dnvT97Y1>xEGAR_|G|K68k+R;1?IejQm?;6aQnT)G zwsG|9GAR*sCli!7O(l>^1u?`Jr_Z{fluF4-32I|i#bOL(NHI*}<4UoX!_^^=-2EuO z_={g<>(o8q8n(A@aPrg^#0Chsx#!I01N>L#f0uKo&hWqd$^XuZfSsgMKi3a*^hd0) zX@%!D7CigeuWEvPf7|NSSu>~ zmWuT=h?$&0Ts>Y~7mEcH82e!gCeaKrGhO}GTGd@8P2)FKV_=7I4LIeA3`o}5S0+%R z)QY!497kqti>Vc%P)Sf71|8pwwYbHCob3G_41z(^9N2q?y=yM1`=fQ5ba` z!*n_~s^Cru@rE!&W`2Q4CFP?Xrq`FQWJ(cQCuCzM^>{OJB35Vi22yb<3kri$q|^5V zV0@t#rVO}@HFe^E$}}E9$+{m|Tea0d^aM>+N5OFzD9FSqMF@(z8e<4?z#5Mg%MkN1 zRglr!Q)8_Zu^I@IbjjkP(QLa~$=M)SQpyMgYZ@>xq<}ab>1wVx-yDyVX^a@rJ<&J1 z0$68gT1P1cNtsf$?AO^A-{=@FqBn>!X4>ZxrRs~;b{^*%`eCH;j?aAVS!RnZ_I9?} z-Cpw1wd;tr{Nfj%<^A{HXRCFbx$i-?y9K}e#oy+GTUYt|pZ^=2X=v=nv~bo;XtxM6 zu{<+t`08`daqrV#p(a(z9UiW5&apa7%x0E@)h^yf?!M;?*DhUPo;$p8Bx}iKlIt6b zwK{tjRnQ-^$R!Rcj zG`hG}UA$9D3Z&G^L4QEkb*jTksvR^U|wQgetVPBF>0y!R{?TWs&`@$lIN7cX4k z)|~@p8w>v6Prl9TZ@kCplM8<9SDt0IwZT|!@a0c_o^Su?2beC?bluO0)KUd0S`d4; z5x)GHFY@VUf1MMvIh`w9y>gWwzImCecLsKEUZ8P>^=hBc?_x!lLGLZ8MkNZZ$5}>GN(*i5cfThlhu{^cRjT z9M)Rh)h3%pEt8emnQ2VR#5{>XkMvr(s%J(jvspWxvL0`(hRI8v)X*xwQRuo%yTxph z;DDfsI^$#;@ZRh1oTSjS=nb87#yg9#X0n|ZYR!13%JC{{oHJsfYM7&IypC9+kygev z$13NN6?BL(f*5Aqf?4Y)fW}Va)HLK2T@!Luy_y)UtW-l^QL?ygiAi;nA*3leNY@0l zIOv~P)Qe`Fs%S(s)ivg*qqZ~0GOe7CetrdjthE}xxU<9I-X7h?3I6Iwuk+GRKjhZh z^Wz`wcn_ZTbc{g{GFqzd-v1<9FN zH=pDVqlyC|jDF#g87%ennC&%lNIJh3O{)nn3tXM>pGx1i9l0z71yKXwTG<+q*K-Mk|V0gIBCV?R#K zW(G03yb=O=`seuw^`YQfONz`@<>S(&LO_0!K)aNhxAnOT`f4ky*=7 zYr|~TsQo%KaRf4{$uo5#erwT@z#6A{0aa*PPc?=T0#dAwg9fTG#4r$|J`8qx`0K<$ zi3rYGauuYOX|fT-IIU$&iVBG_)2&(c;VIKRpH*CHoSU*e^k-HD!-z30{V*V?$H==W zS{WsKLr$9gW>uG0C%u_>LY?4p+gjHBA+;o8ju`IdJ;oVgKXUZqw@pKg zks*xCW-~0%SdVYi(!E|E(zXkotE&*AF0w~oPmCeOj4_4AH42tYx8fM1Dt)V+0tJQE zde(U$CXgVwxmqv^$|D^Scj|9aX$n9bv=Xst)VffT+BZamI&ovlU+B#{tk*0S8!Tos zRdAykFYgz`uqMWgcP*{&I5_O_(~DWrw4FjewmT#0+o{u%Z6;T-lHLg`4YPJZKdeY4 zVzcHTIUKJQjaDJ&3Ntt`^zmH4T zuJYcyZyY6g@#GVqV%{zohBYB*)PN^4uC{sZmwyeq9WLD3<;I2AxN-XiH}?%MybAyD zSDwQ8E$%t9LG~>h^EqcvZheeMJyOyNh-sz+qG4lWi>s@|l~rPE;duCwhY2|`?i_Oe zU0d8cuUy;SK~NvOl^G!nd~o4SjHra<@lQOC7t2_c0T-+2%-E|ncRueJO40b+oK-U= zx@0=zu_jYe2C)o-vcuHMayiFN5V>~^E-MROlPaW#z9*-|yj#+A$`2jv?Q1Zi^`w%H zRRysI#27+KNa0xiQEMdzy){a$kQKR8eNREeP4Ia`O5;R|#-By#)U{VH|NrbDC3(6EIMjx60MjsxOf7+L#?Ct(y@; zE{aywJb8DHqOEdeJ#`966$}n1YRL{sZ_2zs+(!XNUt`<8XCJ%uy94(|%ef z30EqGOo5b8^QkIVM1&M|pE9*fFt(*o2w^}(0T}DD-f##ao|p@5n+Rjl60)Mom$p$Z zt4_(a<9da&!fd(8^=_jplT4Qu8n z&#?2x3%qsvfK$Km74A867grB%@!0u}z3bQ5zIBT+3}A(W^+2tjk^>b@3_>pF%ws(s%nqhBTBnwH#WLRphyX1y}ZmK}|8^u5|7N>+W8 zHL5^}sN|#XdvYoYT~8=eog_VBjHDd!UZpNM4fK8H%*m60;b6Vb+;@bK^rkk@c$F*p zW)jR&m^TZIQ?AE}RT+)-AV)TF!@UYTS|%Qij81JQKR|B7UNoX5z*iU zVhSXJs?8fk9b*{uB{6DNTdkE)UCifLDOjsZ{837cbDCRGN;!V$#ZScSq#9@1L#=bj z^s=^1!w@6?UvqC3W9OOX_x;}GTh2MPu@=|!4WPcQ0KYinytl*GM?tnHlh zZSRtc=RK#2YHH#DyifrGC5k+C>O1fHJpcdie|_t^Fb_f`%k6%1+>7c!BMoPz6lIY! z+#8~mA)=(R<~?OmCToLOuA}#aL|e6{z`G=V4#%81 zdj}AB@cu_A1_NGx^Hqx6((m^V80u0PyHTE3-hPd%o1f*>dSGF0ftlVe%d5*AUYz0l zwHy58tqqFcIbwQ@wst6r9!)LVVP5v>x@Kzc1t@#clyuR{nLdb*M{7}R*HuLp4E;e5 zts-^Z;=RLW1#MH27a1mG#3TdCvjW$S7}sObObvP%Ysqbf^9?qWW}tTtr4=^IXq$$5 zJd(gKEf;EIEVw|^HfW=8zLWb%>bN&`i!xG_&>E~!biO5edEZ&8QfZUqK&7UwYq3Db zC>gI-L98FQ4Ptm!9Rw z^5XF$y@o*Aw%H67MU2+JD zGcyKg+DeRd-qY(#v|!ydbfKfn3$zwMdvLO%we46Y1=4c~zQZB~iT-R4bf7djO_~JO ziVw%NVw-T@OS#-Df>M~&Oe~Z8wRR0!2U*E|mn1>ZD>8<|F`&t<`0+`*V{nds>W>f= zMJZ&Rab2UWO_Le6x3(DA8CC`byKYDbViZJc_J(7MJV&KfUl}84DP7T}l51TH^P%7G zv$eB@QaNp1qwGYq3Rzw-9_>oUUKy-)=@_aR^ahNoMnb@v4r?v_zSz@4RD>>w?ofwm zzr;C*(y5w96z;e&WJO6?WVB73B72Q7bWW;qRtdNx#MkWBF#rG{07*naRLFQdCTbD) znu+p2D_m2Hl{=H-lF~^*89mO0X)#5`NHiTPDKk`pgb-7~C6(@p;u%wb%@p1#_I9>7 zbLZV0UR=dHN7n1Hy}eCUjj&pC{rUzwn{V;RLys_f<=Z?FpW>;Z1e&k_n zX6TuQldDS@WB5-`{X4FVFY&Ise>U*q*R zFZ0}wp5yVyKY+Ww!K$s9?JUEezCgWOQi&(t_KB`o9R^&Qk zuaf+u%nJEOq((jF$~i)8DB2z>h`mE>6M?+6cpsS=%;K0-12b8LeG-g@m~75E4Kjmw z!m94&G8q;Iefb%YCTiO?gdoo{GbVYC#jt9O;G(=s{V@}XlO}fxj|wi(At22%mmsjt zccLA%srPfB3GdquQ{)gkx-L%Xpp|cvqf?=xkvkY>D!Z{MoGiptol^mVLhG3BYd(c~ zfmI6UT5<6v?t{`1W#p>RrJh{XRLl)#De}H3T5`*1IHYYF=?ew;=D+(FeCV^E=N~-S zgY4s+`re=N+PNFdAG?Ek`#PsiJ zl&62RP(S;@g;m3a3x?;f{fyuJkN<*?KJXc?UfE!8JYr*GgFpSF|48j4ds`L1e)I;9 zts4Hgd6dSr?C$N-xInMy5wkvHA8}QK!jore>a46r!_?Q%SS^5wvX^6QPA>-+4wMAjyC zrD0H(_|DTC^vJa3#?B79u;h7$NwT0k%S1=lI*d-SAh{(p4gI`7H6G@9NmlmpT_=5# zbhL^=cHc}{Vi4}cUfXhLQ7V;MDY_W(U5&MtUcWEp=th9{5rM@h?}=UV`(z~{3ahSb zTe5zJYaQddrdJfG#G9Dd8JrKIC|8-3<5E*pDJ62AXi&jXyKz!r=OQRhN_sILPM&|1 z>Yg^KpwK0b1*vf=4dfeJZBm0vt6<`A_H9ei>*1W`JAd#y*tgGe<|7|s{Zn7$zx>Q9 z`p_!M#v2>lM$o2!SNX!Hiq_@*A3Mq&C zh*m)yvBpg87EWcDw#5^$nMn-0AT%Q~@+>D1rZ9~XA;rcN?3`IE>g>Ekn=aiEt)#K} zj%p+V)7ja1@;uNc;G|Is9|KK2Cd<)))Y;W-TjmvBVD3%HydSHcL|NN)8yuE$-t8YE?%uOlXUwr%@sDJzP{}x9NKTilf zu3mMVudi{nyT<%Zk0o1D&O)$?V~^d(?+3-R-+i5zU;Zj(*RoO%VQ0+wy$T;Zxv^-S z(=;PCb{jtM#4j*2GehZGP=+Eicohj-~H#CFK&Kcz4pd%=F;UZAMc8o&^nKb;xVb)mc7v) z(Sy!27W>OQY>v^Kdy?I&=NSiRqd@&~1Eqzf5Bwd@Z|pLz#6@~&ex5Fobjq@iYaN|) zf_MU~))aY8L?>&wRM*<3A^A(3E*e zmTQT6NmV%4b)b@sI;F&EWiWX`@GXPhKq{P}rL?fIGm^;|y@+6)0|VA*GMlq_Xo=lj zDI|p0VN^mM`*aaMAi>c#V`k7NhQPRz1;i)Pz3A$aTh@rWBJn@u-iMM5p(sZw=NvIe z3b|HR?lwjfyf|y4S5$Q+G}J7kZp3b4j7jcd5t=S7t#Iwy74jmdEc%2PSYBG>(xodD zxn^;3k+$=^bKx=yPuc6EO^$Cy^vXWgiX3H5RSeHR$xH!O$v>+WK63`%t{3@!_b7M$ z)x)&vy3cJ+L>nP7K~_RxNf_ml!Q33jkFBz@c#N!De>-f?{OX=_&-PU2&Yt{OwC|ok zU;5}jT>i-m-+1``mFNEQh20B(_4?jUZ*R2I)*&pY!qj-x#Xu1?I(W4gqc5^?e>FaT zxc{4|OTM8|>=c3%8LF*tl0hyrmGSc(gQE;UG-x}~XG!8Zj>zn_W$QB93uVgY$7@DXAgXui=Y3Q*;NF!HM|#lD zz-n2*`wAXA1)n?A=cRA#@v(=Vcw=zrj+f}-ywdJ$W|YZPN0upidFi$8_k%e^r<}6q*VFI>lV@on#a60& zA*Pb9SQ?Z{YJ@0KncNo8Gy*I%nP6+hM2rtUmEpArCqs_&5$75q*d!#AN`Cza0vyp1 zt);nbjiK@T!dmY=#)>h`B(i`byQ>BnwN1 zjuY6u=h*RMT;15fW;tb1vNPPH%nVgir{woQ2nn%l(}|<=49X&^r@YpYQ*bcw<@Dn)2pY4Vy(py>(X-uXzGCR1*-NJ-F%{ORO!Zf$BNw$r1FO2@E> zRNRbGn8GFpY)I$o$WLiq<&ebwmQg>g91hTRU2UUKye4?tRg&_Sht7SoI zTj?X2EK5GE1nO`pztuUKPL7rVh4P-F5LuRxIW@EMvv}8W{l*rp(=={`u?CxKeBIGD zPKqxH*E<39qa@O?*ULm(Xf1WsqHQEPQQ#YGFcc}lPz1EWdF+fF2m3Q~R5z~4aX5(1 zE(V8p9t~uLQ<3armsOJ*cqWul=AscRp+$7Hf{`xwcJ0%NDHd^+C|V~5fcD|Nz5d7nD=^7lH| z67Xr~jmd*01%q_jqV@7zU2rHJh1-o}v&NVT1j*>8w82=xo!Lz6)G;XuB9YE_a(Y0~ zIpH5@B{4C?AjPEUuvW8ieS^GLf)Q|JuPoWxzCmV1&lsbGn3ZLY(IHjve44mWW|0Lo zWT_|^_)H*yy%KsknVp5)nzi|O@`q3VnYtZg|F%pZx^8CKZfkT6-57KYp%e?M&Kcy2 zS5|J}*p68g9%Oeo z3UIVtOV~l8?;L}0o%5_mFPY;)R8x

%_WKmQOe$Xqh%TY7vO-6M?`88`?jZ(r zZcv$(o`Qy`C$M#o&NRv@I`7GgoL;|RFzAV{&8q2Z86!sulVvQ-Er>!QdO~dJT$3i6 z#9371iq^MitI#@S{6q&zQVQ~-oF=u|lzmf;$FdNlh<}JSHy; zc`qZnfHjKB)l}_>#z_iZ7ktX-jA$!>bfuN}c6`gY853f_kz((a0h7*oTKqF@Xc@OH zRwZ_}(U?L)b=x5K#;)$BQHsGknySLNAR%YgV2zd?UZ;d^?+6G^I1;H{P2JT~VX8!# zNc@b>wG~R=a-8a+FjXoT%F+H z>4%6Vb81MI=h(7G=S1~f<~fEu^%W%tsK|Or4V?65!KHpiN0vC%bu$)vruWPg1(jBG z(bJ_Wl!}Ht(}WQ5PB2rMjnkxt;2g#ZpL#IU6BlP~WQ7k>0B}C3sDmOVKaLNMt`RtW zOr<8Rf(*R0Qz{?R%HH8)D{yiZ$*jg>X}XrSZDpV;MV|H8*xZ~tL#ujB)LO!SgGQOi zcvvTnfHWlAwuP>fLPh6s!BbPSI~voq%@jcCe84-;xE`i71laECw5vqQvJ`Jp=M&J< z8yWf=h=ADsdDXo1}CE3%`v+P8=i@k88k)9a;)ctSV~y`zDlkNX?PwyjaYUWf zXbg^uCe}Cv#;=q#Q3H{Y+D#>9NoxRwH(+&z4hD25N2AaYjZT+kL)&)vIkBt91cujI zC+(k>{Lla`9Xs|0u?%F!(xt2-S!e<-)}R$mTY~nqs%511@I@fbBnoYaDWxNT)avje z>BZ)nwrvPWHsg|PMhY_yg2HQg4W(QPYqJC#nbCBOqYII!40c(gj1xP5(kn)7sBlza zFM(Pdp^cPfM%lO2x}gQ)Kr{3Lrng3s<(jq`(JSHXiMu&_^+(JNhEN=WkV`6uw+Y zv5XQlCXfqIDLEphN0qWqkutF(BrLCpPSJJ>2ot4pqNfKz_XZ-l);QOpR7TLGI+_ev zr8F8j9>plB0BDiYX!JC!7a(Z)J;634U0IAsdWT9BQAt|}DXT{*xxuLjHbr#?V){Pf zMG_sU{CNS6$m`0aX)(&;(`!jYNlbq}CL21;=>Y&cUP!abccA6(`3RX&*br#bv(X4_ z(W{u!BNCy*iviOn`$I^un2=CuHZ{J7!%cqj<0pCKuRP9!&E15jehU~w+XvM`+?DZY zhID?9%-!JqH-Wk#V?c+8lO?5G7^Udt zGrV;9IXYS%Tzv!|T3Q$OCv8ZES)j~IcIzQ8TzQt0b0;{tbO*y`B!!Z=@5Pz?%roT- z=dQoS_2DLGkK9X<6*OIQ;92*leYxCfl+JnX(vQir0r#!kL*r@^D_?}Nem2<-U{LnC zKHA~U>#uU^&}o)tR;b%r8m<#z>2!gNl*NEIuAamBhEs>{7U+xLPoGn=)Sxx2+oQa* z`5J*6RQG&@{>vxWIsZ2ExfHavoXE8LR16z96x{o80s(Z;8rUN;Yh0>;Xo!w717~_% z-+Yse>z9f5M6vKjq3r}833LL-GZ83w<-$+#F>-2Y4Hq4q6X#`G*QLM^0#OTb=cli~ z#Qd3MjxDTGwPQ^3k$9J6{L0aIPgWQ<_cnO;^%qzwmdJ8T-L)8%CHNw#WGXAMHHOzO zoo77Sap`RVJg(yRqm7mhP(M#6qW7K^B%L+Cx$=4|Y4 z^1|z{a(w1aGAjy#v}Gi&f*29C1#QRt?BbhL!Y<|yn~F>+c}=A08=}WYDFt7>agA5rdX=NIYs~fMsG3R$sya*uc^e$1%gFP< zYj35*WR}=;J zTUXv@cXyYyg%kK7$r@SOpva__V^Fe<~xj-H(%SD6enm$P@hLV1IZ0)TIt zJw|O!mX|cHX1Tv8fOVj&p&dz+L=w~$TcaJKPJFPKFU0O-9w$jM^bq8CGWX$wt2|<%#X@?HoNqIb18P0odjILsBpRUiP1Eer`i4BuIkI?6P8!~$yN+lw&|^8t zBPaS`lPbnQTcyEto4)jId!_WIgm#(!J38<+o=RK@h>aST#Fj>JV|$aPiuBa1@WBq; zcbcvdYjs*}wAO5HZD3+Sa8R|ithnjePz`IUY6l-B$g1rcHaGUrq0jE_kkGb5^iQ4o z@pza@*Mi*L8IS2YL!@APZ!a|iCB}o=F&>YTOectGtFAH3(6pMJy{)8TklmmjRkSHT z$ZD|?G*v{==f>_f!)h;Gz{O*;vo{i(HUXy=zl;szkeQ z?Nw-9Fsv$ecX!DXaYre|c(0P@KJn=INc080Y?jN{ujA?#gCKK#aE$gw5`&o_@6H7> zJ%fsd3s)~n>_}2~)J;QIhop*-0cBLdLjN#( z5jHorBn&N8Ib$2}wcDrpC_`p?%n#Pk%yE6=@>F`2DMg#&S^_pjv_KwUMnfOyPp{G8 zeYyi>qI@kQpcg>RrD(`BskFw$E+v1-<+gQ>H+J9PNO^>wDln|#qX0=VWoXkJ_aTrM zmK(z>TDw|raA&{wp^H*PGOK*Y;9GP1dvzwQw5u1*Wle|#Z zb-Z-tN6a5yKsOO>PU1tF(8+fqZqz{8%eb(0j-8EdOrEpWJAzh*&NXPAp-n-Cohm4_ zio9^~83yBdn(>e$3y(^EPB008ucpd{%w%lWo4j!0c@8ZsqdFPLZMTo6%A!zoJb^OH zcw^%Qn#~U575A>3k>~3JI+_GI5i7mO=N!+z^Ic{ZXBcgdIeYYs=*VJ3lM5CsA-b|W zV{?3gH#gqI^bGk}fR--hrs`nHR3fy-NM;SMZ#{<|8+LANbKjBsr+~yv33E>wk?UBK zu@km<;ra`dWuM*QHn}aQeW^%3y{q{cDXigY^A3CMF1x!!mXu6tv5@3KO-gEWfLU$m ztY^D{8OwB6x}7_ay`4KyXh4^cSYB z=*~yDZ}}{BsHK-OYN&U2(MZzuj8Rdf_o)$c=-hPFWo!+FoTcmUAYDc^J}bl_`mh^cUW3o=Je`as5?cijf&Ja zYIZJMlM-?eRr8f|8+`lK?{e($F;*U1mK~@Si2L}$4csA@CWXU$c=r20#^su0i>rKi z{qZCX^7uBe{l+zLqCmG+G1_W)=Be*;^yoTgPTnK(okj$w-S(LANFqEs-_h5B3$I<{ zl?$)2ymE-UKYkC&M0_iD_PxtH__LiHqhh3OI-dLPa}+aumS>NUKT?QJk3eW0yO(ZE z6%@)CuD-F!H^2W~mJT20(UT9O6TIIT#dvdtHv%Y+S)g!C&;Rmr9 zuX6h6Sxy|elOxN^iSaBLrj@yMj;^iXVh7P0ai&CF~`=jFIL=pADH*zj;>!vFvjT}ebiR7nQ&1+ELI80qJW+_iQ;r;pvk zv6UkzV+5wI42R~9acuPnHjh$5N3(k9Gm-xvL z$ctI-Ja#W<*6(F)ZJn~TcrTUBnR1!6^>uo^EJ=|f^Zg^-ee6EY96!s-;l-3n7qBYh z$ifbT;G-!gNKD_)iGm)V{r5ye(PVo_FK1O+^gHR z0sZ^G7su?HEo+L zBSPUR@|>!bP~{lK1ee(qu`+!x^8boL($U4IB=&nz%Ikz5?h;v5DraSxPp#`tc?y#W zUoJ+vDAXX6j%|4+AqC@lJpKFD3b(ukWnTzS0mnc4EH23Sf@$Q`G#iH z>{nM(h!RoLwzX6al>{pTR8<2s@?T0#yAu%@4~Oz9S|#PT91$n0mP&!Vg5r$~+tD=j zEG7>4BnELJ*o=un(=-xTMM~l(DjL_)OfJXo>XlWaMpPO`s$anc#$A1&@1l^Zv*3KI zvDipds)x0$$d4v zjG#Se+ejf{y!$?!KuSXk;{uA{64G`o4Y(MvE`2acQP(y7EE9fM(~ooNPOKWuMMBl2|8mFBOML18_gmQZrdOQ{xX= zCSy9H1*K4gBtn&ApPyutCRvP*6D5M$FOEi`j;a*4tkOcF*q^`Z;6RH}ppU7%`|gWr z)FhFs%oU?^0am&sR+S%S`ZMU%2-Y$AhEnq}baA>f>{CG}e<#HsM#*Xl&Y`@XcKvnQ z+0?N7 z7dtb1FKhkd61yrR1pPf+I5-*Kgb)>-CxG=hGupj2@i?p3EmXirjbE-oC-i z+=AH038-ie$W_vA5hKwk+FESHWtq!SNKaN)o4P$B=~3ypG#>3?vw}RO3*5AR#3u@Mc>;BB#O@IDiyH2HESXGVKy0OX8V<&Dx z{9$~%osN<}|K(#O z&u94FSAT~Sr|)6yk$ds&?k#)Va-lD1wklrx(NDSS^aoL)7S6=ay$|Ur75xQ%{Khxg z+1ljny`RLjo8lL`X)m4}g$&j?zWdZu{H0%8r{C{Ohkv?b2Xxv)Ly&Brciy?c%P&9A zUH5&ECRUi~Yx|p8mN6Z@p8MhVIev1T-uygXbqO%3lI|}|cUhI^Y;SLK@#5Qjz<9cl zEHd$yN@jxU(8@|f*vL_`5A<`W9o&epsGtTYmx0&cXA>wt@PRkGkS&TADC~k416k4! z(}tGTH+Wi+@`E@cgB*i`iUI&p9mCyiOn(lqIznh~`tX(V#Awp58Fg22b>k{s6l=4O zZu-0@$FhlL%^1(_?lw1ecfq#8m<}y}_N}M+?svXTuU~Lt?F7H_H~wp~q98iQ=H>=f zTjOzr*rhVX&-cR&HCd5UJ4aLvA$A0%rO{{NO&@$D;$la&HKJ`Av9BiufvAtw^nJ$| z@fa%Ku~QB4H0bE3_VmfF6JuJ42zWdu<`l(%sKpw8a8Zc|kCI9X6t0#@gP-*4QaBa| zg|iV)q%Z}AveQK{+$70`NxEZHg36j;o%fHBMy%gbW=*-TU_5A>rwJ)10iu4_^Sim2 zY*RFg_XA*0b{!?_c^*WG&?=xT-=}vVl42waa)fg= z$peW>LZ=p&7g#tnFJZMx-MlVGGyPQ|CDl_W?nFhOR%N|^d5<@rh?MVGS~^UxH%II| zI?8)lTU+DfpZR4L7Z&NI{2(0_Es;A;-^<+m{508aa#)!NME3`SJv3h`Xk62>x_XS+`FUJyr)u|i z>%?@re;O0{+%NtYEG#ZVXhq}JNjiI$4bU-)r`9;SuHoc~6C7S#z_;!GXFXYj{DH;G z=>5qU6}~Iz1LIC6%wY|Ob15ds8@i3d{t|+t}eF%U|Ni%NhGfm!;QVMiV2<=VxsaqbLyh3LNWfh@mf9|uq`H&?ON#`X#aV^Sn zj_-fx8(h8k2A}$ie}&P`7PEs{ik?IJPSb5|Vs4so%ebxuE<Lku?|TI0(Rq#u5EE#_WEp?^H~t64!wZv3Gn@pO6lP3mE)B#&4yjS=jKg0HoE&k2# z{wv-({|;?ibA0VMANlw%CS9Jw+JJA9%hphU`X zp@s?U@WA9zI^6OI8bUzD{rlamCj0c~G}2FtE@HL*b0^Q_*JiXgCFp1kP1Eq?*S^m6 z>pL{#5l?*L7kJ>YCva`exM^^1k3l(eu;@OW{5MS|BRhXo5p7!uBu)dVHZTSjlECzK ze%-!ugx{ZwTBU7L>FLBGxSJHklL7x;JA_VJt84FEqzA3RQ+M;7b1==bNOmu5>Yp1;6L z&;1x<3Q%4Ko4PqRezG%qANlq-zQ%BOS5y}7F?oE9*u3POH_r2;Z$62(Wpa(a*Y2$8 zI>pmp`4j5lI3-$u$t-8j-p8-~?cd6T~lH6l53Z*@~uDqL)qmHE?T$P z*(X)XTMg+OsS@YR?H~5H@s+HouqPA9=Td!3b$L3Ciw$1I>32Q2lX${3enKT6VQvau zeg0)GUbup_`LrJ}Xk8sJ)vvqxobFZI6+dMI(!_Q5+$+B!r zk2hX^k&ADhN7)jBqd&Vqf3C;g@Cw_*%N$uh3W*rnjBB2M_POa@ECk2u@pam6#A~lV zL$G_y9y&zy9m*73e)}A+zw|uH7PmYC-8?WgZ@&3f>h`PmKE`uAg$||ZI;mm>uTs3p&mZBUj#y(Dk4Mto|Ns1Qj7B4Z6NpOZJC;|D@YrLYM1`DJ zpMRC#`DeeKzF#2k^`y+6GETj3xcmNxIdjir%=V7*2mkip^W$g!l&qLdd^$yu_4muw zI!rC&lhu1N7=l;KEgZsRnJ5U1nU z-FY{E?;rhR{>I<>Z&^Nkg719u>ttC^K96WvSy?0M^g5H`!Mp!C_lz+-^ilHuEL{?1azMYe z-$WCsi!~XKf9`Yi20bZNzt?d>DZH<^^X{`k<#c0=&Z$N_eCL}_^7sC`|B<5X^V|RQ zH+kgIk8osd9p5@0|MC^J-S9-WO5n*b1v6t3Cex^lV2n+ zGO@V_$@-jIp21qn{NjLXm(L5@Hv|TQ0iXWDFQH5%MzC5DT|;1pe6~m3*7#Q3t6jIl z0}nk)Z~@bL`}uvJyJCuQ`hfA3A~;b*@qo9V29h^}A0IUBIP@b*m$s zK@)w)%G#PtCMW$Ro9C_Fce(Grhxx$cAE)c~Ai(UQc`44M_x*(KF|N)`+WY3U*bcb`Xb$EEdJJn zAv?J1wVlH`iKZD>RZ7*kd7As6rslbcl{*o)n7O?*gxh$8OK-N*UI>s%gWKF!PFe?1 zO+|>4OSN;3#Vnut%$NAqpL~sP{NW$+*)RMGgV|Xz&;dB08u;!C;JYVN z0NIse{BsA#v`N}UI`41l`RI2|o`YXa(-54Lo$--!rq8gt!QS3=e)z+uxN_+&l+Dw# z_4~H{RBU +#Monarco HAT + +The Monarco HAT is is an all-in-one solution for using Raspberry Pi in industrial automation. It provides analog and digital inputs and outputs as well as RS-485 and 1-Wire communication interfaces. In fact, it turns the Raspberry Pi into a PLC or a mini industrial PC (IPC) ready for use in your automation project. + +All the inputs and outputs are designed to directly interface standard industrial sensors and devices, eliminating the need for additional hardware. + +##Features + +- **Power supply: 10-30 VDC**, powers also the Raspberry Pi +- **4x digital IN, 3.5-30 VDC**, optical isolation, common GND + - 2x counter (pulse/DIR) or 2x encoder (A/B), up to 200 kHz + - Counter values retention during power off +- **4x digital OUT**, open-drain, max 40 VDC, 1 A per channel continuous + - All with up to 100 kHz PWM + - Short-circuit protection (continuous) +- **2x analog IN**, 0-10 V / 0-20 mA, 12-bit + - Electronic switching of voltage/current sensing mode + - Protected against overvoltage and reverse polarity + - 500 Hz bandwidth, configurable filter +- **2x analog OUT**, 0-10 V, 0.5 ms settling time, 12-bit +- **1x RS-485 bus** with ESD protection +- **1x 1-Wire bus** with ESD protection +- **9x LED indicator**, by default mapped as indicators for digital inputs and outputs and system status, user controllable +- **High quality push-in terminals**, detachable connector +- **Battery-backed RTC chip** for keeping the track of time +- **Hardware watchdog** for power-cycling the Raspberry Pi in case of failure +- Compatible with the **Raspberry Pi 7“ official touchscreen** (onboard connector for powering the display) +- **EMC tested, CE marked** \ No newline at end of file