From 3b3938f87dc9ef5a9e9c1e804e45b83ed8841718 Mon Sep 17 00:00:00 2001 From: geek2003 Date: Mon, 27 Feb 2017 20:21:14 +0000 Subject: [PATCH] Add files via upload --- draft/boards/rtc.png | Bin 0 -> 46321 bytes 1 file changed, 0 insertions(+), 0 deletions(-) create mode 100644 draft/boards/rtc.png diff --git a/draft/boards/rtc.png b/draft/boards/rtc.png new file mode 100644 index 0000000000000000000000000000000000000000..704d1a35f2c4c887af63e813099c0946e6075f0e GIT binary patch literal 46321 zcma%iWl&sA6eZ5!?(Pf_T!Tx1!5Ii1+$FfXyAM9Ng#--{LU0N0kReEL4ek(Z=iAz? zt^Kw8$iN|WtVHc|Ni7Pa6qiua-UZ~(qBNpWbQLa>)hD*y;kR_0w3v2a!m|^?{{`iTan=>u@-)Z8zmEm-PSb@8^>fQX?4^C zM&HmLaTz&9rTOiYh{n zZ1@NC^Z=x}1@l_e!&#NIM5L|n8r*o2tXmDXu-@VBc`G910IqYBg>>d z^2h~BHW`jdl}a?Z3@l`|e9O9b2w=>lR(qPX@m&Yu0&=IODTYc$0Fv|3x-!0BlokN7 zKM;I`&n|)VMr*gz z#TFT4(jy(`VfN1tl5%0h5#{rV?bBbysWRz^rVe6ur;CEGp&o^z>u^ zmk&r_VC_w=mU(t0M`=#yKRl0#7NuQ>rJXt8c6->in9+jF`9ixFymHijA!F8uXQNqxP4YLAWzi9(;`vw~cdyo%D*Bg?{~k*E zIcakv=kT73?(p#WQ7_+GrpB?f+XggpUg*PEEISMP&XqlxId#%C89SMLHYd(yyTp(Y z^!hp{k$I@pT4sxWgbY+$DoQ{4&VOqVUqpw?FgqKI#Ln4BGvDBEGN!}l+3+%5T15c; zx7>=pdpCk32{0!TNxGoUWqO5HTHFiaq-d3qp@sFQur#D7rK2=O$vy*o@K%?sL$nZX zr;JMYO*U`!MSnQaLMD=;Qo*TM#?xl}Pqt9UfjCjfV6teP(9Z|E+Q$N?B3~GpVk~+i zEc6WTJ3O{Lgv+5SGYpi1L)a5MoGBpoUvf?PM0+`-F^T2it4iBKftt-Q;TRPORfCa$ zd>;gT_ZhH_3JZkfuroAM_eZwHC}H{_&wdcLI$I6VU>&Z4Uyl-EirLvjFNH*&iAU8 zSb{;3av#7W4j#L4zlSD@5)j{Y)5n*EMem}?aZs|#s)Rcu%9}=Wap?hChg5#f$swy| z8nr5t$Ly5hANExM>j|vt-Z@1J0Krak3szj@cG^Z8h)2xsmReH1ZxN-&mG35-A7;u{ zCDKxr3(gN{1oYQE*&ilSB|`rcpS^XxDhH#!W+ha^_wfBR6DCv3+|>Tn6sBy#zOH&& z{_*Eslk6-}r*m4Q8vfIWV<{Sx4GD+*jih&~(HCeyW*BACH0^pfQUYmUQ7+06GmMim zsseWP%XM`k-3n*y*}qIn2aI+?1ps1{$Ne4S{|Uy}=i2qiYi~z&yrC=*{wN0=RjHJN zGLvr`nAX#G%Ejh?C(Wcg-q#PkaDj}8NpPz1=+?jr{Oq(i`o%RlE_JDJ1hYxb*mtot zNI~w*Mw{>AnLm`4B4^K|XybfM;?S3;jMUD45M=Nj`wGq*_#5U?nuJO0J~!c)w!qjb zgBh<-R>_*Iqm}`luwlVgo)cxD+Xe1i)qS3_Fuqf22UNN{kx5U=^f%{xwKd{xslB+%%*OY||5l~=jHZazg*6xkU*l{Dml+8}PXNs1S znk7Eiysk2B+Db>3df1)>-w{Oax3$ML`B!S~-w%DhrjOV_)e+Lt0#1mw%GL)JDYIU& zSo{cPA;G`i2|pPvh|>qvw`?OVo7BqF!_)OLGL@2t}1-@H3jKK(;$XuIl7yQ{x^3LKfC2qgq+4Y zZ5Ms4mlzb3?XfjDyWPg6Y;*Df)DDi?D#*BJlBFKAN z&W4FZIf-V0U#5Zx20%zfC59-Wcl0Q-zmcd)<1&eXEaAAy87TN~kbV=O)!jLBAt|w= ziOt%hg!;^16iW+};dN~##N)XxHCnimqY62FI7@=ZO!VWI1;TeQRjXsduH8&XVpZis$v1fUwWr&V`<|38@P$r{c=4G)@r5ULLC(700 zl7#k8I{|NW9Fx)7O%S83Lq5{(Q?~~dDRzy{T@w0@kYW0r)%}KyWHgUQEp102as*ByFYC|Zu=5cYkURzTA$+k_$w~Iu& zC&u>_mn+i#ELIQHsmBGI$5(9^86OHBvZVi^$y-@H-K+Y#EfU4X)I{*5$<@x4S)t3GVWcXc?*7KyBaAS^7HUmV zPHa%{TuYCiyI`4I87)?qG;8JJGpnVtStk!Jhv*2JNSg(@UEr{3)ajae9MSzg6$oxM zN`=t}=LdhVO$E&p8!f@3kYIs&XYAl$lm)^XQ3r7~c2iBW?KX;zfIw0bb~pf}lbTk< zi~aRW3#OI|q9KeZ%FyW-c_JjEyBq2G8CjR(y+=EBc!~@rDOq-0f1F}@cr$SAEwmL- zlLjv9R48{KA22B&JA1uRr-oiSo0x4BOWk8~OZw8VkqQ+IJ^J6B1!t__sZ9Om9dzw} zAQX0?HD5CR-1?U8A+|$Qv@neaoAJF0_c@AooRclWm(~h{37xv3K~O~9Fa8i_>@F*t9`m)hvI;6lu-6hLavYTK^^TftSuX%Ur`EG@7d4>8PWB%>p) zac}}N$X>XBi;Js8SxuchMnQXU`FfM2&@60|3QGkz(WkMPb#f zu!0~~l~oRusm;KqUxHM?t9VOu=B)*f!n@ZK$%R!UvXkQh$Di-)Qoq_3Zp@5QW)pJP z;ERAOFx{ro21)m=GJbERf9LumuN>`(WJmK5B*P7{C%{+8F#0o>r1KB6Xx~Jz&t`gQ zXwY0av~Ny7312Gk8HC&7I47KcNTVxeS3%iSz|h;fb<61;enk=jM%3agBL_!( zFrob~jxGsU02BnufXL-mL(a;7e3c0W@wGVv!bMC2h2bERsj_VEcRDf`P2Tp%6H;O# z4n%k7lAs+NKZxS|r!$k&v^`U#Yw-LeP~$S%m{qJvFcvGKw$|I5z8HhZB^$%B&TYyn z8IfT&>jW#){guvT6& zkEv(W{QZ0H3o^Qakx_;2X}M{GfV8w`jV@z~RRx3?lR{gZmp2SQqNWCDYinz0YRZ5u z4-eui-(0ruFSZr5QB3haAuKw!#b`&h-jM1;E-2PGdx=Djh_NDkd#)6>76iZ%p)YO5 z?a!MeMS;5knBnCHTGX!W`9r(}l?$A>bUO}|19YLsoX1k>X$GKU369SUQ6&baRu&cn zQNt981L5ZY2L1@)`1qD86Ap_l@)^OoF#S`bfz(cWft!DfZNYbm6Y4aTy4+3f^NPYB z4acXhAix%Lc@f8$*;t+#_7h zKIek@Ud>v6WY(G0Fh`LCk_|wFRT$QkGdVk+Px0~_?AVzG5|B9`?eD$b6Q-Vp#9TJ?U_3A#VKb(=LLyZDz=_|xC6ZZ|SClnzH* zUL_hvdBy^(BHvb?uQg__RCtFN9u{6Pm5J5qu=4MK(^uNv!()Cqy>NSbATZ?jLl*6) zpq`YklVj8^OauhM;k$neBbw0()jHLv_2XT#_wWJ-W`0=JQqb*`24@dfAuH6x;p52l z8l;U`swFsM%>QU@RIv_K0row@)RKF#WHScIoq!~&Tn*Mi>NUD$rVT{z2;}AEv9YnW zxJdmkN7=;uFPT+?NokTCnM97MQ#KFzyhtTc>~i*yD!S^KVuu*VVv{l!vAtJ&I$Y|XzQFl4Ssd%*m`QkxXH@> z?U$ioPMAo<$qX(f1nuOsI~&UkINVOz6qeyDk;jOxI8RomO_l*-uW&ad;%W;y-U*|` z3K;q-+eC)9n-qD}jO>gOvF8zVA|oSXSD+>{OO3^M z<^>9+?=V&Kji6UivT@FbK8~%FV3jhH6dBOmATPd<|DADt$-2g6Q))2u=;QYcGSf%? z5;Qd{j`0aF=%N4GpZ+SH+6u#VJb_5DX+^z9N!#wUwZj3Ci5@$(oA(RHOVi@b zL8HM+Tj<=sJr9l(r~kM=;(3xRAZMKo*RaP=p(__yE!zI6t%NvZ*Zk&i#qYL zDsIJ<;R8!&Yl)%|({X=)wZW7b)H1N9PMnNoQ(?T)E#;L;F}VT33dCi)u59v@2AS zHnuI(V|Z0D%`$%BcC-JZ5R(vlr@39(YdI2&Ndfz0WgzuS;S*AO@AkO?7<&2LHo-9% z_wB;cB4Ok?K70HiV^T>`z}n3+){?2|R;tuBpXu$_qxQ>uvdvG7h8Bl4u@C({LHRs? zf_MU}NexpZ(eiLS1MpP@bh)o0#(B1P8@{Kja*GvjZX%)x)%V;wNAzn;UAEz!cbj#_ z6+g~4`>pp##AwKaNw%Z=saEDFKv8b?i2b0JIc9D~p3D=TKdo0^)`K@{-V`k2r1~wG zh#OPT(Vf9%Rt7_+y7eLh6JU}^(;#VrTG6BTxrhzz=QoSK?Bff1KlbY^bAI?Ad`&tel& zk~59>(d}D3rlcfy-A1VRf#`Ca_T)L3cz}A!kK-4|kb%YbT#BX= z%pFvR%nU~081o_)w)yizNUhdb$(fL2oaEmly7D~nnWUAK71x_LO)e|K6%cC4fR?Oe z>i)p#+mjUvDk`fZSRJ)gP_Ago;Tavh1{M3S;q!C1Jux|%{Qe&_Wc=Sg63tJdY_37fUdNk)l2| zuiS^F9=2P1!nA`N+nmCrGd?jr%uW&p(9-Tt?YXA@__&wjponVvz$CJj_b&e}UeJ;1 z)3R$&)L~X!B2Rmfkduhz7HcWL0S!Tnzse07>@AuSXB1|({^!-e>1RPop!AjWT7((h zmi>8#Q`^;GH`{%xE8W%!&(hD6)O9%~^|U-}GQ7IO^Q70THG-8Wt-hV7%Uyx$J=0p{ z9%1n|ui2_(D%+xN`~QjzAJV5uX2MxD7#b1X$;&CT!Rz$0?2T37__#+bip(S5RmAiy zgMUgLL6&lXO%9sd5Ofx z?*mb=GCV}z1QZIY!?&N3lX|O>jiqIoXQkRa1P)zcwTAMgS|xg4Y)-5tv~^Lw7}#@d zcgdoN?QLVqa+gSakJ&8S+8Gry*-H2l^LrErR3OuN9(1ofJWJmjQ?}zs z?5{TWMygX9@+A6eN3#1IXqT%5gL2${YPEy_qS9z=?) ziuR$uUVu#fMpfI7x3oWYY~!2m^K*1nw!91TqAeAmRTBl@<3^k1b&@5Me@C!$1Kp~AaDJFK6DM6Ed=DD_@Aj5S&bEkTiRyAqWo zlRTCevk;HK-3e3Qb~hDbDAH%N;-GKj#OI)bADxGnaL1kp8YUwn1Llmw32_t>i%@}x ztF4j^_Zw*q`QEXC6T!oq0QVKHG@yEfU`dTAO2RI*H7wKIc4 zIvN^0+jYz~abLK}_p@?RFZN%Ld``tBMyrIJ{MUItOjYhDyL~0t0uRJWKiQDJQ7GNm znUILJ+h6*2!X@lVy`lN~gnRndBQ3tq{pCr-bdrS3bt}DkZ>jTRuJqG9kNcUc#*b*4 zQ6Y+MQPOAgM<84Qs9tBPv90$+Umvxj=<{W27zhF z1=?xn6+1?W@iy_)L-u$v(&krKEB+^X=A>)SsU_h)-_y6=Zni$$B7(nDy;EMgyXig) z%wK(ama>?OvYnF&h)iebr=nITC9TRUl1RCxWnm6a%iSfR?br{|D9mL|rDvE9`cP6= zPROS0I`h^u=xS}g$n8A`6)W+j!!}C+B?{ww!Tp2@5P$^r)cqnvN=jOz_azUt9HOAD z&}zhjJuu(P0?J5n*KIOj1|SK$EDz?{6^+_|IlZe}jMN=WjtU|---?RVJQ?7rB(}kA zaA94&LeyYmPE?N>rv#$bL<`<1*Z4ml6%98$tz!94^Q?{}Hi)NwCAa=J$awbB6}lx& zD?0K5LM&xYLiwrX>E@H&_Ilgnd1d7Zf#EjVZd11S3_8R$N1PBLt0iHbem@cRIaz)u z?6T{(QUBQfTH^q76~|-vIz94jEE!y*z@5Vc%HY}-$jfa6*OWlyfbY6YU2V$5KK4BO z%n?IV<8=Dolcl=vZ=;L(j*}lLdTtyZgeZ_L?sc&Nkl0zoMWmP*qAXJ=we8%(f06vmdfp zybS08f;ztlG<4-J{pJ4vtvMR|5%Y469p;+=oy{XK{9^pPb`{|UE{{Wst4fBNOvduH#?M_oi+q zLOY2JFc$p4v0#RkqClzG+iCNpYOc)-Hdg6D)^2H;I+@Pvohe^6_?jx`088I(qXf$1l<1`6 zyjiA}n__MX9`6_Idd5#uxf?AklYF~Q9-x7@qGo~T_&w)NuOqXEZ+}6VRZ`FY=A4+D zi4_2mu$l4DbDR9waXn6SW?Ci{%g-x@_+Q%nbr80tVY2l>FYWVx>%i~g4phzq( zjis$^47_C3WMI8N<&xAuv)x-1EO=C8`4!L{c%ICfdMX)}O1ysxEh*wc5tl;yp#g>z7tX6LJt|IK?MYAMmt>tj&V9Cj1~>A-LE^ur|zOjJM%5(Qt|_g`#_jAFudZh8ULZn zk#gdoVWC1$P(_{Wg(>T_(G%wkmnh#6Ov#q#(Pv#huv=TWTR7UuH+wFe^sc?pw~{rGLZ=Bo_e%Q{5Cw?_<7 zBE(3VimaPX9z?p&eJ$f5+d0t!hM$3Oz>1 z2QDx9K^ZfPi^Cfm^pTN~mA5{Hmm+6nq7SqeWczpJ&!<4|7!93dO zSTazDBAb>4ks*FZqZ)S`JU%`S7kGFj_>%@Rv6wPMZ7n~9%RF-FFz84Iy2>g;kr^10 zc4M1L<~ zVVhMX_?hZciIB;n2Pq+A=CMdY-t-szGJ!hkVfW#`bAjs&(JT6nPB=pbDYTM9(yv!+ zd_#oyZ$JA)dHG8l47}*r=t@-_j|E*1&@t}6ku}T9igtRKqG8L0x^5u%#Y#QBDGKWI zuQfbt-=38Zx`UOg>06=w;-`DvS)_bAAYKmqrdhI)W~uL38A2ob$#PT z#AHZWn_dC(L6(KtJqe{&K(uhQhXtvQpGtY#(;zcrNIeNM;jxWvH8M7azEGyF;8b-EG-$eRygXMd^u|`Ck z$Wn2Loc)#ayFQ?9G!~H-b&5bVIn6%}5hjXM6OSecSJndJAu%Qz^Pf2Pva{Paj@7y9 z1wXxagv36wCnqzt{A7*@0`~tPwrieN{RaOU8R3G-l$UCpsuM02&S)e@Z*tlXs;@Hb zKds*97HG6@EK6j@R;Ov$6@6dJ$R}nt*iB!i?_bG4v2EaQVCw>t@uB z&asZCn-Nuss;&_r3=wpFN&mwBM0VifWXX|i<9H)_75S4O8ZlERky^s*du84T7w$5r z!cnWyw|GrkpXn*dV9{lp#IvAhhmr$sr{&lxDwAbk2tG>n1;9m1?1ZfBJt6r#fA=l- z{794qx)%ft!9<1LC8ac2>`&1guYN1KdmgR!w?E_3USf2i8#9D9ev;WXq97q;H0;F! zZ8ax{i)9TzI#ZQ+1J|nPk-SPoLo8#uUkV4CcFvn3q0&JZL`OsL`h4za4H_7@Ws7MF zSHABk>BJT;A*wFPPM~6+RZXZ zbkLm@+PS;Or>7JA{e>BNyC2%0+IgAvbP>4T?;3JI*M8QNx#Pi5r|NB6aq>Y}eEu?} z3AWLx2uk+cFhR>!`NDNYlpkQUA77nf{wL8;q$#=MECh1J6F}P@H1dw@bYr8>7#J0) zTm#An4h_|jR60~=t$iRxLXmB|=OqGUtDtgs9Eic3QpmBvdH}aK^FpM+39GbW^IZn zOXYJ&QqRxhQVGDUJ&z%Sj(bi?W*U|E%@PggN(<$jX!|T7n9`H5X+RIcWbR}`1ANm? zsQ=zRyENK!+DFoRPEEt!qZB3$k)sLyLqwX4IvNxPP0)l{I!%22bJ+;)C|HU$fO_jj%vTl`qK^O=fg zXOyXV0_vE?W4*?=Vf>F6Z_280G)78(Y4o%L!dQ@?@$=x|z?oce6mKQ(@KCo~6VPiY zyXq#YQ=OX$8PA|6;}w3ZT%$`r77ek^T{Qk7#6;arAZE8GZC+lg&u6yvfi79BK zEfnhHQy3k;Jwec8b5pX+VmvMNTV2-Rt;euxZ{*_@}E>a*dW`%<=<7|@7-rimlD?_qV zEfv*nYeVRpvaLUepn1}MFYi=x-sY#dd^koB8Wm>#H(1Pu86 zOXb_=RxRaP(3j)@cuDQrlH{{rgw|H)kOjWncJh#4Vp+J{hRN829ro4MzFDtJ+xpPN zDwmuA#0`M@!`s{?-%F6Cn`J>|{+-8RhmyKs}X@4_2XEyo7a+ zaE?UNUx-J$a~qg){;jPiy&>pPGX{2` ztn$oDwj)Nn&Kg(VG!R%G7GHnxOiIN;=A6)=-Jt9CgVMb=af*dlCy1YkDQl$x3cFZq zrw0@4lkVsxbRaANu4O?U#XEVO75CpxKBR9V_ITPB)3Y4EiZ zJh`kY$aJr9vN?T&kdd-HhMCF6mRDRbT!A)w2>_C+LdI>-(9qel9<@~LI*JNM_2R$% zU~{J~MvEFEKka!%8;hst*gPy$(`^;M9OFoMZByNkn1#gP;oddxB^8?Z+^A|1&TuLV z!c|KEy%|#J9J^(}5_Fi`$-ok}(67pq2*D=Uotf$SeZ-;^9go z&cFb2MfO5FbHAv%6`!vm+qM;YgH0}VayQikoh~xhYgZ#oscu6QcIV5B-PzNjFP^LB zUpnt{)>ZDwinomKj^FK#zcKf!%WC`@&B=fC$xEs{iQYBwQ6=5#F5D{U+SB*q$n{k_ zuaBIew=ZArcY4;QyE@orqY;&HV1~N6@&{3a ztbQ!oQoV+;ZMd7IUG-gG!53>{9_;|O5Yg0}e_iX3U9=ugW@Xy0Wyrxncz`cTF5X?9U026;|U7Au`X!rMW1nKDE8lb0R+No~cSEC=7f<*<1; zNE(|q;Z~v^rYS;|`2-8VE3TV6@86D0TN*8w_}5D#m`xVP7(J#Z#^kO{J!SKZz3-ge z1kh4Rqf~N~aL*{gL^iqZ{33RBoLIByB$`9NE6}0;=U?7rfk?CaHfi@IotgP~wz>(t zRu^>b`jX7E_QbxU*hCoBOy0mB8^C|6_$?<_%!sHD%}x-5)Pes?>)p#7=l6@hbwLL_ zJ%d(ve>{6>F(;OaC$r>B0+2`hdZ`S!}Fa|{bt@iazvK6~g zNiD}U$v;3p{+Y|T(?Bt+(Gh9Aw;kzFuCwKLy1N?pM->M-p75w|fF8|V+;sE1rRQ};s{qRCeiqJ!78{*;|WzAA=VR!mFrojk5LNNHpg5hMjSK=ak zk?!dQkpcYA#I5bAq9W+&;n2@#j`UNjKQWN_899=xfs1I5SU^Wf?EK*5`Y;MgNwfx zqYiTmZhyRac1}Ca_AZ8LcgWT*%E=!MKX&iCE3|yu&OIXlEPcx#L3o#dUn3!$%rM#_ z6ou;i_dcJsIq%5n7f02NcCQu^5f!)NCPq+^qQ6&K4 zToyc$-EDK7&F@Q1*T)&FE}`O|fAb?HkxylCO?cISSV*50R`*;w_xYMX>x_&xq0-|` zO2K-3f#-B_!PSu?013#qRuVjd#UmE`uvAX2w0~Z#cw3)8?SL%ndsj{6^`qhCq^C{j z&55&&(;tFQ`^tu18YqPC41gbF`>21shNTh>)_C(t%JXjdP$gu3XyC$_&?XA@$J`{o}xO>Q}G zvGF@tCSdx?hZMkv7nt{W*<=+<>Aocgj|Nz>jtZZEJ(Sb}UlDeg!hJUu6#zmW1 z-oC2u>F(3XHe5T%PMoHKo7B==j;81rIt*%rYJO4bI%1?sdqa9&9wS%pcCc}(F(Tqj zHk$Ni%o?#%CNs-`LMSQ|R~aIm(OBH8sDg;2?fb=}ZQU;{w0;K!D#;AraEmQH#8Pqj zG5TcMQ!5?&CGRA6csh<%q(w!aeXEKbWgY8N02vgdJoTSy>nU-HEuZkVbU!+g{Ra@2M8o0-3)TfZEIPJe9Ua(7*W|K7aSlx)o@ z4p~WM+_AeqUStDZ%Cp-5X2>OAEKB>F{2~$v6g%+K5S0=ZpOnFo*q#ukca`ZL!{wQ) zw=?cLJ)HdrQ|qh48|EqHnV!&mkwLwt)mp*>gi4Z9H_@C2bSo~4;*xc7B*CVduUGJy z?TaR}Izj4hiwaP2|M^Sb{i#>VafpvTdvuowDLpR%59dxt^YhYBn*zGQG=DhHN%#3g?4-zw30H|f{XYS{u@kkD86z|t7ezygc{xEglCaFOFd)d z6!l4AXv{A;k?F0j{modqy|P5^enl}{I^%0JaQF-O^ZhcV0fb&~nbBIz&X z^K0NFHnWj`f1>={{Hrz)(k|-tweEEE;Ix(nMEKvt{b%8VpOMsI!@cr!4aCqs#g)2B z4*qV^o)vBLhs){1xKfOU5A09CB1g&T-+R)U)epjdO_DCNWgO(P{O2o%Y!g2G&jWn) zT80z{Byj)+t6uF7rU+bepjuy1u2SM$+d`w(m>DE1>JLWN&L;Uk;hoIxV~%unW|ds;$VlT#I`qPrlarH7s5o~e$hlbf3fdDbpYNO7OD{gM%m?zGr(?1s}H(IO|1SmcO0gWiVrCN?f3%0i74? zKMdgTbQith>C9d*C|Z8Tk8Vu0Y*!XdqnQ$gyUZf8mrPRcmPn*itXf(W!Y3vwjUQub zy_bSgAxnKe!t;OFWxIYrvUDxN1E4L`^P(=t=B*#(AI}g{B`yK~c}pw@-m<1nT*Ty< zB_t6SKMz?Xjg_KQu>LeG0ER{n8r`)-vr^26g8Q}7DHDm8z6S0al?f{8Nnu1^Ilz7r zr0~wYu_$E1-(arL<24gtmSVbifCVV(DdJBp=SKL$;nN%VM}7tcu+ z4d67YGzb3Z&D-@CCe0xLHCcIo|1myKn(Qs1@`L$NDTUf%x=0e9ian+!@7xwY_+HG& zCd)3F; zvjRpP0-(Z;USHxnI*egPaO;{h4TSt6azWSQu!{`WJ4oY!~W4s^txe! zemrhKz?&a>&IZoV2(P+m=MVW5o4kT(#c}Ps0gPd4%G_%J^W1h4#C(K>rsK z|Ac5TJV;(7rCbX1zf~$tvwHraUTjh%*?yE!I9Y7ARxa9JW*}{3vpp#KESvt*HUfJ= z0C#@gNwZk5#D}iasBQ?p!@(*kG~zL^eA{MFtI>(_bu>P_+?=ggKAT5fulHp&aJ}m| z#8CupNAB*H%AaJtlRU?V)6)Npd)`iqv+L{Ady@rlM^kOBuHVc1#q(9HqUn3i_1?&~ z^}$KAd%GkD{3!q0=B1rRVs_%8z|M)0wan(`+V#fP-Qlf9nD3f?2lnCAtsZg>EI%_d z6Pj;mZe39v-i=z+Tpl%p)7{z;bBkGuqs$AVhfKXyA7f-L91}F?Y#&J)!eRB^! zHqo3uy6j6F#=a&KmfnbV!QYq^9U|xt&e=~+HK+`h^}VdHr^IfLmj>qM2;rXX>$^Lh zIz7#fzts*Z8O$_k4pbt$SN?EA9v0RW+!k31+3F2PW(NHaj?TfauK$nYTefX3FD~1z z-Rx!CSgn@rmTPI@X4|&0o3V_$wDddQUyuHRbI#1n~z`&ub{b%bd6y7y(?20PKp-(xJ1OlF-;@=%`;Q1 z+7l*WaNXgc$TNPiHGMC~Icd7vJPatlo3jr4#_G_Oj}Rq@*BRTM$!vGiRr~Ey@H=T2zTYmqqF^IqEW`bK|WNCFZ3sk3T7n=}w z?*Wu|Yh2kRk(!+#bCExHXLzy|wto4Y9r%{n7OUh5`!VnmODj#yZga$0{oZBX=T`o; zp>uIJoi#Q#HjUpiXOl>W+@v1|Vp1yE@V8F|0Ve^7l^_YkB_g8oG2`@yXqsZlwDjM_ zMLZyKP5#Ag8e2vnM84J*N)jGE!TNEg;r`3`G^*G2_W9N@U8b3Ki^mHwKb+oNq9hrg zAlRz--Jakw24oKz@-L?u)S5elgr|t0wxNeIq+Xt1f2S$)V~x%y>OOsr3vPTT>;uZi zxEU7BLX)n&{QM6NM!-_y4qv2WEO*&71&;|EX-1|pa}yA@Hv}%P!@;gg+!y#nc(rJeWD91rOF58lPGw3 zZ)s^HQm_N8>{=X5f0D>xr3NOIDWniHAr|%t1Yntd;?K;~3cJgib$^u4t6yXDco%qQ zuIBJTUqEtL`#|R550zZGq1i+@OoEC|pPkJ|XgZ%^AE`;;M{1@#Bx;E5zVC({eFGTJ z$GNT=^3@KL)#`Vmg93c0x{ouKot9d@V$W?W$ ztRKJR6zbPtAJA5D>H>XljqYapdh-|X+yZ>&BaaH`KJ>qH!H&X_R+)CW#^N@$-qx*tJ!kv~50snnSOxtB4 zL*@@%so%;C9-Ue=jhMy;#Mz}xK;%wYMFl&YTHhX!k%1>nlNmq99cXa=7kqhm;77zD z+YUyg7;Qe)OK7O=Yz$c@0mHg|J`CFU;6NIOkfs<0WS4-66nI|wSLK3y1MPVr0& z2hSGK$_@!?8DqWW;XuEXg;#dIq3snFWtw-rFAT7!-CGb}JB-%u*l6l0>q?OupCn_R zIIIBt{E;%)Wc^8@&4BlpK1(IfFJ;{mVgZ4a<=XN*Aiv$lc&Ybav5-1-B{uNLh-f+E z;6uc%Wc8+Ts6Nvagd-HHi^}*UG$1p&RHQZ)r~rMV%)^&AsGsOR1NI%Aw+C)A0pg#i z^s}h)#1?eTD*zclD$ZNondg`9cXwYP3CEa@7!^3$sR?}RnJCG;PHdH>nSJ?kb?5=r z>zS1&0OXS!Dk%5JTBj=;_d*JgsrT7Yv*+}-W_kxio~V$Bh>1-d9AeoFnmPFS<+DmP zD>I)vxn$uTGsD7U zyw3P>*4*X#C8Q9(aAj@AN^=JjvEEVLsKwr-B5q~21BKrl zk|fgVBjF*s8SnD3Czj2?z03-Xr>Q@z&GXGiN~!-LLML@_Fevo)2LpN~Z4;eEc%+`Y zoo^y~d|@el-$;EfDZfT_rLbfUFlSaZ{&0PyBs&??YI38VF4NHExFaE_y#tNn4iDgx2Hw!4UO;HS6u)8 z`3%^MlUbkabqx*o57>izM^w2~G{z6O^z8wKd9}rv5(+JA-ESjoXl<0nvQipzZa+4t zGUA)FRZ${WA0_;AO8Mo9*jl|@Q(i@}ttMZbDK)0;B|SSc`}d4`-(IKmg8q(#Qtj6I zlg0(9)%l<-2AQxV);pLg!m{`B8;$-=w^IJ3;!jv4=K3ErIUfUpOSy$F1q@nulc5jW za-tJGKwQzx70IY4M#&rFW?08$NNWA4otFrjjf!+SxL&#A28HeRJfhK z!7UU=bcL1N6cl@M)mvi|)jc6QQMXhg4}xW?Q`b|@mpl1ATr4wz0(3-7r)1vR*Yj@<9Xr~n4tmBHKLLw&674`v%0!e)%39hWMR7;vZ4DtXMxYI zZechjW_eOiUJXx$LIR#QNK@-D17Yt{h6{v^;rJDnhpu3D$Xw0%Pm`4tRAMr)o0sgg zXRz8mN&Q+fMk7pp)A!tKG&evu5 z-@N{$uE|zEStVkWM!j(PNa9qFYlGebF(^fUXA+(uB=i1gJhFKQ7*c!maaNOS2Fot5 zdIoK`hwQT%?CyP~fuS5e_Sn2b8Uw2+Q|s6cTC-@1lZpzWgtKzdwOaH1lD2WR2xR~@ zBeh8$uKac%am8)3gnhd=_+{--H011^r;2gQW9kJfJz4`q_|~s$PiUbFTbxNDm7P<2 z6xx*SG>(?$_C53%&EUhy^O@QUUcJCj+3Rsi!0T2|pJI~&-ht0pOwD0h%~wvL7O@EL z?gQ4q^8x=~Pk4sW&F}$Vutdd6M_PBn*$nS@S#*V6FoU2vK?5)MV#?qo&zEkz;D;3T0gXuRuh;;B_Dl#d=NeF&-%`0lq@-litxjPRGO^LuvOrXp9z#rj! z{0XLn5uSocSaA|Iuk*y(Cji|z{(7*xXmBc(gLONnUqFILF|krM%bkCiwY^1LO#V%e`kJq<|JKV_jweCH#nFBt8cc8dv96JSW*MAa zr$E0D*eEVzANQ%*9cd%fa$~aqg5h+kwQfXB4F^OP4+N6aNIqk6iS>+b!ZP?Qkp@N2 zkG^vSN%+YX--L3}px_k}NR!YUAb;mFb$UlEpXHS~=PBdVd2M&&tMI#OFAfn=h2=-W zr;N^R%UGw?>0sC=HTQ;7C&HGu&1vyn=rql`WFHWIZSDMaLw--#cLr^&!rf%<6`BAHwQmE7B#5PB|K?~& zSE>&FYz_oGvfS@^U=SZheeE^V;4pzcZya^Yg339$uxj1EM)5cKu)8vb&hpn!()l}! zZs>2<+d479qJ+_G`k%zXt)w;Ga-|u2nECnL>4~Vyht-v0BL%BeD63A@`%b{AiCnuh zR~f>A;WgI`Rrm@#Opd`#xweN(0gCro3m0j>w`7-bUt3}po9h^=$&`Cdcar}_ zTiHN{hc)r}idDxQtY>Un2i>!yh^oJyez~Sy+iD3?VJ*Tg-EarjGT-NM^;pUDbe&cA zzx?>@$W`x?xP*BmLyz_+VK6U5CfhPhMh9&uz~oFMg&Ljk**+*BN!6Q&K3cN8a-3WH zVT7B>e?P_*B^%ORY}}$Mu+hq?W&hDuA(~czC3Sa8Mkj}I#lT!nyc)_U^pf z9#)9C5>QVse}prsDfo^TQB1~slSGduqW6)8Q$1U@u6)!sES^qK{qH@xm-3WvvQ^L)Kt_*V z=w*|MRY9YO2=`eU@8Ay$I)^$5m8ulNhi2$a{xcgw`0(-R_e^2aYc9djsd2sxrL+!epD?|l9}}Aq9KqH^!rhnA4F@Ndz+CX z%sH^zIZzy;E-BJv=)I>zO!VVRpckM2U0$)pKTcCPk}7AKE-AlY6s}%3n5sAhjbI$T zwzJw|h4pdCpoe%}c8$kC%gOs6>aR7MTwLtEdkWe3b`$QgXf^Z-yXk=vU%;nl+D=>T zT{fs;{kE#EkW6KRwDxtvW=O*gujy9s*Wb`lTr{Vy#EkB9zE#gXHSYQsX2$&B3Fq)v z?EWOv=+k8lHo<=aa*_hv>|PEAl4K)v%XUMlpyH zA7@{YpGi-z%EjY8(8^jhkt6}bjob~ylv!@mVHMEsNUQTS;1PsgKV);xs&x9!e16(r z#Ah3e6k&SjWMaC=NqDQ<5_32$lThg4MeL2a=bD>d^fq(G{ph^KZM#=uxhiw}hNY(T zx5;j4%`;2T%YgtHb#SP(UhxWlHceQ`@$$`LE#Z~R%^y(z>$59uxB*+ zhWE`gVJDKc)uY&(4`TkKcH~Ui;sU+#*CqJQ=QP6WzJ#x7v<$+6b_E78>rKv&?X7qj z!^NeMsnq87%Q$cX4mHomLqXP$d$|m8DU%yo3RY?qWzNrNAam|Ao=a?i04Q|6-Socn zykZNip!Ze0W1?h95L@EgJD4;!Ta%n@YpX+m_8{G6SXvYGu7n4K(wGboBZpHonOP>; zSWR6=#j*GC_yuuZc#)bLDwgs%9N(SsIz;cant#yxe{PX2Sq}>?vn=MGsh?0?vG(f* z5xd`5TrB$6D7CJhB-34TKr`y}}!Qs*RC7dAOL`+TCao9`e3B zDu>4)XEF9YD0%MdsIlH!L=$zjIltcAHi83LP<*6tfr%I$rzjaadPls+UtS41l_RM6#hNc@{@f{p z4sd5*`2nHNzNV{r;Ux)V7CYn%+bv%AYpvN5u_V~kspE9xOk~GrMeTPa8eIOrN!UgA z0kR{>*V~SmfP?rNbtH1*1KsE++jbg#1vkNA{sdVp{WMDnE@>o{Zuxr>ICRY zzQ}Y>;4w#74&7b!SQ^bIzDNu@3A7rY9gM&u!s@-k?@x~q%&o~W`e3ANBm*k@cgVt- zw#~Tj6|kt`={}S!D>ELV!2O36)y2QiW1(rF=Dw6+8H(U>Qm?6+)nT^gjojRw)Aj$C zwdnP@z$>Jmn_Fe9zMMPPIFaOa#Hf(Gu-;J_*ZH05&pXi55rV|*T$05MTmI)04>6M# zLdOoFrNC-HjR%yH3?naMB_*ZYvF(Lo?;E%D^y%$kW^9H0_X6=7%_>Kt(Tz7y>sEWa&2?i4Xo@G$WaL7SyZTO=A8=J;vHAaTe&6z{KbK;Gmrmel zI;4CsH?hO8U6T`0X*=D2Q3<2J(`NU&oYrigYS4jlK-MHlsoIrFo#ICA#P!he&hsJX zPxI*V5b)WZyN7q#`oZVrz-g|ZeqGamezs)Slrkld!6xo)OKy%9mSc9l${38}Bg{PM zxPe_ z5RsqxESW1j8PP!kAoIHEx>^pELSbb)G*i6Y=Y^2Ei=|SCr7YjJN6o)Kheqq;>le!x zCoA24jIK?hrKCLVlndQG9RVj4I1U?MnlGJsNXV6xj=1jIa)rEcWUv9@>+91UF#C)i zxW&fD0~!Q27xgTBbQldt59ic&P-Pk4{rTduJ3jb*pHSc{JHHmRm}^qr>5{uE63d2l zo}~DrX|DJ$xXXYE>3QljS--fy$*ZJf)E|)LEv$|Uy5x`(mP0uHcAkIIfi5_A$4mEb z1-(-5+Pq`aC`OO;jK-lT#EXnA`?N{rDV>_~qrF5QjB|*}Nd6ys;Z0m93$Sk{On}ox`^?aaSn>dg#w5{nvc0~*;saQRCJuaIYi=z2Qj6!qjyt zF0$BTTn}=irpTH}2b!Q3ctd{a3LeUb4QHCas1IS3Js89&qW_LxR(n4$YQd0h7Y}4N z(htovqw`~ef}!!P#JHip(zAPjU5KXcI&WzdU+l+nPyeyt zaP)rTMGiPf(@CB=GB`hg8A-@>a5SzmjipsNH4J#w-}D7~+Q~mm-s04_O!)Br{Y#oE zYd!nT=_PVWvka!BQDJ?$%h^wVig$A<4Yh#FuiSPxL`Gv$R4i7f`-Vj=QQvEGOvTr| z&6?-GNsNY>@x!3{`auI4a32qQmVItsw>EP5dfXC4nwKARjv5tuXrAAZ5GpF!`_O0^ zkaupbiYt|6!J?Ix{1@{;U6D#ULF0IcyLV^pL{r~U$NF=>H7=NUPb2j+P(uGWx__Z) zd9uOFp=*ykQ0q?Ap;ZB4;az9jSPE%dA{#s5`qlB*7FOmS%iPIwXqOoul(HSRJIT#!s{DN` z;L`d>c^O(Nynr|R2|e`$Q-Z#Wfng*czp%VwTJ zUwo^ZxrRADeYMREhHYPQCNf7FSpIo0yC-(QzYadQK>r5GV}S@JFVQcb#D6X&=PWxv z(|aMdsFYQ`S2r0iB-88X_jQ2fm z`~WX8raoa$G5FrA^+b|Z3&o6F{^K=yJz3%RatTk`asBB3IwbrSeRRVj=KJy2)8lUy z926Kf)=l4>dnDqYFHF4XV1VCsqfteQ?E7aTe|N&oq>#)o**_-i|HL zPtc%1TyJ51X;$rr*&aw=SA^A%OlKUpm`J+*N(ZM(k%}+5CC1JLn#3`5p9tvRf}URi zpzE8dx^FX_b9SRL$PJDW6dSrq)EUx!^R9fRm2j=er4p=SOZm^Mxn9uT?z?Qq#DY=0 z2b4wsR5iP$L-}OdOxyd1w!Qg!!Q$&{GycBatm9h_f4mUrnN9&P>0%QS-1kSZ(=##v zfZzpIXZa`j_icvQvpgs0jW?6*nR^$O3?N#&fcA>=}7l9_jP*tUFN%m z_{t|&no&ywZEQP;e|p@0IyL+kopIA3_K(a{*8lQi$XBMZD_MJ8x8os=@ry66eV#!74)5(%RHX@X$s6My^cL<&$x- z+f(<_sjiN-JY?qBU=Mix@<0USvWjikk`ygadv^7z*P3vvb{0(9)3Pr`La?dP%WU|k zb}}tr?@~<6-|F-bh7nrLR!AZ>I$^LwiJU+45M8bqdfaKJmz6zUjfhR{jSwb<39B;2 zPG9dQ5sN;qIqzzpoUMuuXIiH!WUCY>?ic-Ps*o;9b;5ptxujNcJi5`ST#DTL;nt>1 zgdk7<-xOf&#yoR~fHk6$PLR=FeVA;hX|_-b{zD~QL69+*_Q}KBZT+M3)+t9dL7(S$ z)q8tg2=%`iK>-NW5<(+y)W)m+f9*%6^QVrCBF~=YixCVoG?(L&3@$FN`MJf$6?dX+ zVp*Z1(H7pPFwL;il^LWigDnMKY9~}nO}U6|8KmX}#pN@bM(=QiR>p@1ZHYBW|F$dDHFFzv8DBaW83Ok`|7pvXQp?UFVCJ|~E2){Y86#Ce zS=qrZe@F2oZ8|fM$cA#v_k5DfECl=lFuwIkQc5Bh*U7VgL+bq5UJus~DAUqK7-91D zRvcdn3g(y%ZUXI0!w&pl@S~CY$(0z zla}1&ASGH)TmQiu+}v(>@<#j<6u6lDfiZu{E{;w`-cg>-_S*z(a(f0@Zq`l}8!lQ# z%!361HbI~Nyj9BhPijq<!3O%PbWQ#bNAz;>%C5_621LZHG!Fga z&t&K+a=6LsKj!K_BaHBg>mQ8<>lKgFTH*!|`M5~JFzMT1!GPiTV-yctqoOo)dgRywBkOxR*=Z0X0MIh+GWq_c{&iQ8CAnMpHQ(nae zX=XjkyA*&(sD`NjQ3rt+e+YYp7L8!kb@Io#bJ|Bl102DuTNGv_j&Diu%hesCUAMlA>qhiWoYIbyTJsh({E zzC)j9M*fKZ=F_S0>$Ggu1SDxBovAXB3F#3VIcqqo>;N#cpVE z)kVuZ^5ELxCV9w$QUq^VogYToMx}+PTv@h`tu@yg@1R5FcJS8@-#>Xmv(@9o8*)Au z@t^)4JrN(<&zj&dawRJv=VSUGz2 zk4-uc>r+W1q8w>txx$vy<}}Ec%!%;57z@La<=yUaX`E8o7%gc;XktI^Vx|3K4VjFe z+q4;Sf-VD_#$WgWNt%4qnNwr^*nQoWZ@8{6_J1+N2c z<2h@|29Xf%0KI(L(a7ds9NA`vecH<>J_@03$?i9l?!XyXR_(xumac2^=OL3IqWnYb zHkv$QilDQYfPXP5zumsz>F7(O$OY|-TjY}O)T1TN9m#uk(-B+xyz=SG-DN3Cf2FFIzsF@q9i6SGa zLq~W}&OpEh624hmnE|#&1o5@Mm;}u#%OC}#c<{np_6aJOaU~>CO-6o}mRt>6GtRoN0JG+wVI-OC3kW?Cv-uj9DA4do5+&l3s6?74`dAo_ zJUAr8MN9k&t?L#L4k<4}U1YHa@Or4p=>=6Oj*;oDZ08=8?F<@&7U%xR(nJs1fYbon~s}KP#Se3^{ZeO_*kv7 zOAt{4$&5K9`JU9LX-^Guc6#9gEk9H)m2hXH3GI^6^Rxnp;h0@!;Qf1inhkEcfDkMU zCg>y^o7bUQtZ*e0YEtc49@gO6Ng0CCvN@ceup;nu(z zE))#1uJvX*=nX(i5H#b_CUD_eD3BICXbC)KHuiW_eZ9$dI!rMMd`Egq4HVn*6lE3$ zLnU#;lV#`MQOh?Nt$#s`axBIAuTcLglqH5V+PtEdkc@K*KTu>}zEnXzE$tyt;^~fa zerD732i$RXe9`$$@}Vl#MRMU6G0^&vh@NaPH&0S$#YK{%q6=1bHQo;GpLj=Z6Y61u z+)tD*dfeQ&^VKq7+zI_lxkHI}nlox)xNsPg8PlDrZrRjmJ?9>vGC#aP8W!8N&-tu zt&s+ki(P7t$Dv+^v!Q!TV`-h%3nS`-u)0kW#6jK zmE4@ZF!o9jS11fhLu=9{>Bq5L5Za&8R@}C@>*{k91wVg5AVlcEIX%n zIwyVLCFFYQ{n$oM1PYz7&G~ex`HJ~xwpZ9Braafo@W|zK`6GJC53%T}ZO5f@ z4-G-x_|cX(f|C68PHOh;ziVj9ZENao<29g4aHb~dP5%iUWtLHeX zgC*CIHyGZu__{xZKSGK&OjK29`77jVeb&Ujrl0#%=d({KKP^y@NOrSMm zr^)`9bmJXYM(!c6K$o3MoeFCW1@(h5{b!+uxnLSX{9c_}UdxSPiRHM2o5ex})hWSF zEcs02-FnS=>kgDI*^1?_o8}Jdey@0!j#k=^4N(Wmx__`4VZaUbbz15A$TK3=v>RSk zmQTaf7|MLeH1Z2@D{P)Ibq3`!*uJ?rPmrk_GD=)zQdC-fauUkiR^E8%+9~V!M)*Y2N)gKgLMN>4; z@5r-0V8e$_R3vFv4)2edqp3LzMV`?NSy^`)FYEjq#29B|1&Adwoqk$3m`q}c;-EwRWv6L-Fa663foJ`B-Ni8 zE;veWo)_rho8=W09G}h=%FM~J2n@{A2g_D2{nW0!P52L;`R+fquYr>~IOhX1TiwST z+66ihVd5{b1Emz*I=#qI%M=K5KjHL!8I#NmLuNzx-DXvp2ziv6F#Iu87UaeK5q5yy zWu^JeKC)MyIj3<54wa`i@@-y?^HJuLA)C!XU5(s!-leHg%we+CQ~2%k%$6_PNPgZP z=IdGIV1>MvP^j?OF!s>N&ikq*<>_gzF5QA1Od1cxx!5ld;c1=7yqOpcEdE${g>UH# zn$I%L)%V1V={CHdMy$WIf2IR#`q;eD_1r#f?PCT)1q0t&jHjKScXR}Jov{L=sfM61 zIe3hjpuh0tV_e^UGQy{M?lfvbatm`b-Kwhiiyx|cX6{9*(j3;hb7dP8I+jYMB6y~5 zBDwt)7AP$*r`&}9{V8hHXN%=Dbm@b)0k<9H`2*jysO+7IK)DPEB2E7J!wBp=((f9U z_r>36sj;*@A^1QkCOJnbVHbu*wu&eAZiWzPhqhQoKRAkfn!8y4i*hNUCJVfSzNwp6 zmdH~Aw*lfgAy(_frqXhKH5=Q{l0Tbq$}xFLS541K3BfLB>FnAMaF9 zB>7=Ed7EP!ZrK(_jY`PBb=NnGuj_;XSOq5}=_$W}kh0*}?K%?J9pIncW;U9eFm;_D z^k((?&|^G7*?mOvnt#7JRaYVi;x8VZtWU!kgq#(>j>Ey&Hx%%fxFxKUy%oejjh1U5 zE=~vdx-F(GYQlAd=E-Nu&gf~12YTe#C7MAvw?H}{xYu0w;`q3Pg=4Wo%JTeBfM-nX zg$JnH9QGkn()~Y#D1pVn$=Ro+(-WIvd`%+hjGG|rN?D)zb0eN=7S09+5uSYm?M@hz znhjlpHja&V?vGRI@o^Y}T>Laghr_Hfe9rj=i^s^-`>;MJ+*03rf{ctOsV~c-8>vql zmgu(xNd9mOv}tq-y47sS)gS8uzt0?JrgYxlUlhwmMGKBS8XP`?O#%U+!$6@(%dCwD zmSnx-skX8A63H|Kvhy_`TiB;D9LF*A_S>0H7#8e=*?a1?O24c;VDPrZj0#NR!4x@9}5LE^lU#7^;lAJw(E8(|}f1)LLP5M*SdJXYjsX&&NF+ISgLd>kE-t+f@ z;`%x7XS_PkQ*G@UjKb52aC-3)3x0Z@+Dc<-0~ z8BVW5rH4rdu`mU*Mm*NZncW;(Ry?Ar^w#a?hP7@-ZqvHK#-yi;$tx+#> zha9TA$2k3#&5_e%_|mAWsYMY9wNJ@QYvk=)^!}yLg#~r(YTUm#SUO(SekPZ`6tHRU znJuR>oc%)OFeQ^w6w`S3$aZu#@BA$4d!OD;Xbs<{RnNyB~i zJSh-Gi*+6RedvrRv#aWR^A2~pMP8>2jHkz>?ym`h9$hKET8N>ds`S_PPMCNK^gN)7 zO{Ha2S$a{t-YhKeM5nU@+g#+f8b+0$c-m>OKf|cfwv*FtP3S|H+gY*aCMGlew3Jkt z1@gk0>>0W%FH=DEjg5bt*BhgXaizZlf4wB3xe=m9}l zASh!BoSux)d1;D_p;Ty60b7?|Q9QJ1DCdVb-kbjHatXO+PNwl@)BySI_oM?a6+^wl zjtF8rP-9u^^d)^e%m|EX_}KX)PH4t_49#u($^_SMO+TplIA4!acc-5GLm@Wv!Nc5( zVZbB4&AIoUX+C-QouPG`@u{cfpH5B!CTay5EDnB-*rs$VumWv4h*cZwoT2q(+|hyP z8jvGJhfM+>NOPj=zxk{6_KiLLLUTOadO1Is1UuNZXGY`ylwQ-d|mDw`(? zYk0PT&t{qQzYQATRHo2m&|KM4mHW*gr{KBZ=S2%&-^|Q5dgI4+J}BVv(>A5|raWX- zuh{X%?Apd@qiiiKo=yz9CVM)yzvpLB$?AruOoHfKiGxqY=HkQvg18eyt`4&ni)gSL`uH8gk zbB@vs(QO+Z5=f70_kU0KpQ*5b5fbHlT|g)`HmqEFT>wfrrbKs_(=3FD{*e65WFxg+ zav<~W>YDT)nx6wr06NM2ALJKk6#zYg&`|>Xre&b2n+j^&I2WaM+-gS8F$WA3fMSd% z1N2rDOK`y2q%%+eI!mXJ>=_b!Oo0hUFg6Wk-^=Uhi7KNn)TG1sNk<=zQ>Aj<*smd& zO?b_tXc26T)D0f8sPWUYrCu`-m=$bT)tui^2xGu6Jo?@MP!B(lN58Kkp)hN+6mT(% z{}7_ipM%dRX5ny)gbL*rZo9`aVDPH6FQE4FJl#lJiLM#wtNmQQPDps|78O1pVt8) zwQ!Qpm1GMuENMXqT?#Xof2Z2coGMfOR)B7@f@0L=(?jZ*8EaklWC1qpXGKmyLB%>0lm!Gx@4;foF?-e1^GDI#4PPDm9FmCWQ1~@ zSX*if>}VM~u!FZ;w6)=N=(`k>WV&-znCw8Wl(BH!+$Myr(<$tOEXDXBs>Rm{{SXN4 z_~7j9td_{kym8wWsjk5q{4r$6Uk;Xuo|M<2x9!X@@S^PqXN1$B*}>Gyi=YHg0&CW; z3Frn`Ol5tVoSE5sTFX-ca)b~&P$e6#3@5HVgZyqNoeEcSG;Vr20aMz`qM!HR- z!Q}LmRdYIMH{yMztgN1}NK_(@#LTtH&omh1s0KWxr88*%j%}RYPIFJPMZWzn74PCL zsEF`+U1#IqcmI1X?uOB^a(cljT-Hx$NHSTnJaHqm{_)qtr_%>v?ELv6lpSfcKi^?S zeF+RqZkQ2BsI2jWx9u4Cu0}T4CIdpKlTRe^2-D~3-TWG{_H~okVM}y63ASNTCNgpR z$`y>U(s?uFAOt1*IaJp6*2|-4uX!T>6_eVmc!>yoT=0+IF^Rbg|Ic4iVD0;f18TB@ z&`EPO_vav5d?tEe3&7mm93Q!epNEz+0su6B{cL@3z1{ZO08#{?-+4#39U|vm9co0t z$;XJd+Ud&;){fDt)J`g80&d0N;P=DB!$cHh78}jyJXwwav0b$yjTs7sD#zCJkth{n z)i>I3Duik$n^Dun2|aYvTuG*eI77VIQ8gCe@-C+HigodTmkwMGy=OcS;Ns@zl5F@a zg^b?%%6ZY|Xz|Cl+9E1Y)vDO<`HyRL&ub-Feh$Mm;+ntU;ba`VRR+4NR8cfVT~E>s zbvD=;B*IA}MW^s+w_BN>A*&l@A?=IAZE375j;Ww-0M&m}9oTFO7A2VUuL zigL#VZTr^O=?MP&=c(t%H$TdrhrF%*tJeWITS-oj&c91KS6i(4#Q<#E2VGKPd8f)eS*?$*}YY7H@Pshe;=wxmp6JI0H>;Yijk z8w+!DL+$c4<=#~xZIvE(UkQ76$gGm@ zbG-A_TFnf%k%>zAe4#QTy**OW?iGjMHwHBfX!m_koOk!F%5UGJxZht#L?)H<_G8IO z+xFozBm_q?x?NZF{;!Dr~hqU6DJ%EMl?)4j^&-mN)gRlEK?xi}36TprE*T4TBw%7xSx_o70a#~sA zntY?#VG`lOq5tNFrcze!J)=$~6@DPFyj8JEpS!R3io+Z76_fI9HG;7fq5BA1PaLIK zL)SWKybx5I=4LGz_>w$w<1xsfbRm+g8QH*?q6Ix8k)AGDtLH2AyR!{Am>X89wD zaiJu`l61M`3EY896$NC}An?^J{Phks;P_N+0jE;HGUj>5Bq+1;UU+PYy)#G0*jwQ9 z@7*yur^8K=h}OqVuCkNLSsBWdQXV7tBk?h!y#VQ|*-bdUv+TXa{I7ZT)5K=?ZPr|V zeU^i+lPUL*AvSN}alSic7exh4eRGIS+Sbz&3%@&r(d6xrB8P_`CRD!uKq_Va!edr{ z)@ej}MmYxLs?JgZT%fAuY&HR5Vd0b%;F#tFruY)j@yh-AhPQUn+!qTAIBGZ`xdtaO zyQ1V*Jd11ew8;#yl>7#asKA$#atX-P&#%3vrYg2aT@e^mTfk%*NT?4I@^zZK4MF!S zWC3Rs(L-F<&pa@FS;=(eT7dvO0yQ$Xo4EL_!XL&mlk~HRsQdl2}I>0+;q>>eYIrZ z>({J;rENop(8zcrUn|sl^a$jL3?5c zWrM%FHn%hm>)f*0nPe|v)1ACBf~V(hv&MBMWdZH!y|$n{J;=T>*+((hXV^y3e|_o1 z6N8-Jr%Jc_pLK4Nkl5?Kk2dkmx!7i0ccSF1Z3|QQ&M5-}!`lFWe+P!wZ~EXnR!*-H zHAS@_Moho&n!Qu#OaYrwFHMDAN=|W&;fFEd2vN+XJysT5HXrmb-w&2Db4|*OUJxk} zCsx6ASgJq*g%w5`dqH@rFCxAGu8J7#N;nrjrUT357pt%_g3S(E8rA`+tAyA9_94WKLIehYV=p_ znG+ew%(1(9*z?w4ZSk4$-;^xB0gNhA|6_GJe9}n4kTrR$ClM4Ek{Q8R1$iUfBfS2J zyUZ$FBs!chPYQ+kpO)xKcWA2KAnAeKVyPCikKh#k0bhgV>xdq|PXphR-~Q>exDC88 zAfvu-g=3?VHB(XiRUxgtjT%-)Zu)2E={=^{Gm%^ZS>2I|PvOgo*VumX%}nnLZC3Q3 zQgj( zfMISP`+aQ8b{f)_$6|aZ==88_ef2dgnIvcUhjCg8#1!0sWcwLjj9WJuhoJ$L!#;{1 z4u={YMk-lw9M-(^bxLgJ>^JMVcG1RK62Eo6OwRK9q|9^)9x8P%{|>6jE0;;nH33Pv zEQ00kAkG7PF~|W@pJZR%oeYbpdUc(!rOd6!q=g!=gPNehhT#gCs6GHX)NX#&QBd=$ zD}}J$wMnSVXsTC^mYkweE%Jv6C8NOQ3 z-PirM&GGXD3hUP01&0=e0W6SGiMlu=2PYkfRtphRstgx9T-HY)7w)y8Gt?b4HrMrM zNKBF$N6kK-(m%^wjq(YiWo}MLWd2K=*+Y;#mJ>j80?ddw**(2B(Job0=YyaU%JcE! zzBEZ!=a`lyyIYo=Ji;i=Xyx-nI@|s{Sh==`6TU=g@{8`<)5yibt6ItV8R7;bsGYPI zD>h6?hgZzBNW%oJcxx(u)303)XTPV8>u2Vai2J_`ehtmOUY#*}L~_mcw6T4906}4! zbgMHg`?3|WC>lwu7^2$n&9jpK>5QA$SY#4W?D^h9FkCZil!Ho^COSFc>$O3^dHmPL zq7VJJ;DLjAVq|T@kRSjq3WR$;vEo4mIx3Ib;Ipzo41d>%S=B)5bR$puq!@>^ETqEgQu@FO1nfxUa&H^QS@P*VQa*jYuj(S`56rBJkZaVNNIaY?ZR4^o^0#l5%} zE5#v5aSc%1DN-mDEm{cfQmnW;ocWGioV$OnvSzJWv-V^%``yoe-ru89iF+RVxnVj0 zg}3iK0OlJ;;I7y%o~>re7;ODR!8b*Dj3Q4_ibJ)~ZyFQ)Y1{G=4ihstNMp&77}Tl0 zLkpRB_9kdkV3i>ZtIP6*zS<{u!c6KgnZe=TDj$?x+P`VJ^eAYO8;|V;ZBc7~o~hf8 zZ2wmETvI*lpt0BQGGT73F>l%7q|tftSN4i8S+!t{8{A4<77|_}F)C@#D1C;k-g1_{ z)z6@g)Mbh?3tkfUTyAtdxDFLl@gKvhDV66~@aW>8GmdF|dEoPqEI%G=LrqRyfON91 zMNDfrPqGn%!-(~gb|q)`Z|b@G&g!4{0*&|?V)uDrN_)vDkxEoK?%(Lb^5phGm7dW( zp2q>P#AcWN#X7QujjnkT-YyH5j`2J^Ghf!pEZhLmZsmD0JWEQlciSo8INMLn^YMq+ zAIySjP3TmY^{d7U?wXX((~|ZBIn8Y_fpG)ry@Gumu5fTL2vS#5`PxTDlUTBF?%VLx zQX1+C_O}^IiQ^rl#8GdxmH7ec8~A1JUl0+Zn@-ZQe-#I~X@mB)7Pv%{67;hfs zpd}Bs3cgwL`djkzabQPa)-1IzU^Ue0IwOdGa4lUqL5s^OD0UXWf`MLX*mtS$&KPlyO`7k%7 z#KfJ&dlb|Ai8tQICl3_{-@}8uG;{pzq;vk+$r~=O zT6S^Y)4TEF`FRefyxf=WH4WGoc3$}GVL$kK%ph?!E204)4P1gHxReT+VfM*z5F`p_ z_J(jG-`y(kwTGA-L}3uTmmJ;{C`{-0N%gAsDw(6}4*3O=&H&$uh_SFx>;K;5S2QeVv^u|Bx3x;X2b`5o z`;j7{HKqJLoyY7}#cKE@&`CRwuussU31{+ZVxv$xIt-M57q|8I1}U(B!o8I2ABLQrCR9vX=RBZ z+}#`EA)T_f<$CRb*pzRa^7(mx?e6-}`0r>Is9~>MC35(`_mzH=`NK;D$JSiL_a0>0 zvc`N#EAsm`R{UFB)mm-;<);Z7@)|!RnRC#dP*w)?`k2LuPKc(Xq-7^->M$gGFYw}* z8_)H6Z7Gve`}elyEgj%!gKaroji`#uVqWhsUB)V2oQrvbKg=fz zG~ESW>8|lJRxNMrNS3rS=DXmIH+m#|!hgx~VJnuy*F=QDaKIgD*mXpJ?Y>Nc zB(h9WCKwZQo-MS=yrYTt6_ba9#^#+4ZTZ_dH&cB3+ze;HGWpuzA`%utwJ}b9AxZTX zn3?zc*9%^`U8D7;QJ0)*P%&2$ERtR}*OK1zeH8RdTINU#gzkgz&EV)%J@qob*24oj z?%5Qj^X5RLEwgZL*T6qafE-vmOb8CosHnQaV_|*D0TDNd~Yp6>Sb5P)Z^E& z`PzqQfoSTEz*-fY;s;4}91iJVy*Er+!i-;e+ zY)?~?2A{_0!#dNG(P^Z4?`OW}+zyPBpJ5#oRZ!xl+3HLA4AGl3w}cT>BeVk;PFjaP z9C${9^JjBIjBPR6Pb=Szc;^7S{k4z|*bp(e;>wz>=R$pzd+1A4m?&bhu)(SnoM3dI}d> z>@`2+wADcK9XhKHEP&`+)8CW3mQts`4R`cZ^)UE@b^JuAroAB?QLM>t8_Tp8{Q(B| z@}^H~l4oRd5Vz~i9zRP8?Y2ccjBa&ya(xeXxMe1s!Az%Art2M5_CFay`o_&!2|*S- zbL5v;!|&!41PsT#x*wXJ_2D;)>0QNSo5$n(B5NfxKbf>FiyxksWiF$&f3)+ke2sMa zekT^U9~D0;3P8Sc`Y1xR2@~`<{s4-sIYL{6zHZtfLr1JkoXx(CufQ(+?5CT)S^}{K z#*3WU`mfdg2h7E!3l6hQnI|4V+hOZ`kOb$SwyOr{tidJ+F6rLJ_0~?YE-W9@aPM6IE^oL zuE|TaqwD$!inW$0<*{>{&3?Z#ZlJ2-XQ&G>H}DBFAXhKbNu8WQ`sIlGe~15&P~Rwz zA&#fc{Cn9(vpVUJ{mMG4JN3Z&WMtv62OHaFPsD3m zSh9>*7QXj{MWE|r#)}Bt7_MO!Y`f4EU6{qDfa0G^_P$`qUt7}Fa>-@41T zt?3U4^ta6K!c;vmS5)R+&#^J;5g<&SF#J9QSy5)d`E|!f8XnXB0!Pevql`&KYwt^fMGQLljVvzP} zuOo{CPf81%Xzb`o%8SRDD|0=+J4GmTRK!BOu)Objn6zW?u~5KaA%MVs+Ig*S;c&o8 zay@K2*Nge-a;bVNvOn&u11})9Sjby8K}QO_mW;ZK4`$5HpK`!yG^~W#aUkol-6(;0 zF6LN|2i+v%5cm;M#PdqEKbf~swrC0-)2lOx?N&9Z?Uoa1BE9&Jm zvmytU{_ z;wU_Bn=MPH!GLs4Kq&=H&%S0PUDtQ~o8n3~hSAWpLjI2+-Y+h~p}KJ?Gi8_h!l2Sh zSby)vTTEU#oRA`-h|D{$?jdIvsmP|&Kl{UxrPXL_YvGXDRSIfQ<+m&PW5e3y_X|AQ z)fcpnoYdQE;rcNV_%ymU350Bd2EJr$ov7C)QQHxJ{KHB&Z*=SIzv_t+opBoMqSfD_ zeYE-w+3b(g4#OW6rL}r2G9M^=+wYBoJFnhS#WgSZV20#LH!k??nK30+2xc6{o?p!)CE>ds zslNTC74wkRr}Ph^2E!yQ;qf&uKQM3Umgy7-=B;{>k#n3B8RAV4u*s$FRf)uVwQm&p z@8y*EJSjTS)zasiqJp5GG;pH_vaZ9yN<2dWef=%p#E;>5Xkcit+f%a(+;cviBb#)q z%u$V9TB?Q{+W zKI8N!8W4J!tEsBh8`-aG2)6dWCSq#He1%nnLTcFVpb5%tp3fOi*1PeXBp8C856>(B0_pebVhP4Aza%=U0V}`ZAZukN%4Yj~E^wChFM z1~Mf0V+xZ%=gC`Dc=JekbxWG*!{&_J9e_}(mU5xwB3LH{)rsFa>3m9|NwCCbqh3Yy zLFX=fFJv0(m10cv0))|@)E@&-6XYBl+-#%=Olw3-@4aQjjKDH>ulJyFP0o>p$=doV z>os6HL^p_!dZrJ5qk>)|q*HIchm6$1fwD5-u=R*8-lxQSXRQPvL!@-$`NxdtUKbI0 zPiP#!9o)p~?RpMSSOypOCGK$dSt5y&1N&a#zW4RL)xT9bvJns!g%HaJ5O{4msE`E!SFWbN#=IjU>?`H%u zt2c;I2@bo)H#ybG{|q@Q1ieoss-0=3{8scMF7uI2u6qYwCll{FKIcBo&74f<){A6X zX*K0A?>RPVqGI@Sri$22d~3v)Rl>J%YP%x{eB!ApNl!h&LfLH<=ZA%AV5Ec>v6a?u zii5zm4TNcAurW=n_9}q@sNICMr+3HOo@|mFx1Fs2hN-F0hCD&F%jQ9u5Z;%pQ_5#sB3HH&tFFVqX8fWRTB~no3J>u>u|}P+9bIXR+uTG-1o9SJz#Qhg(rD5}Dg=6L z<%qv0E^#eJPL=C@cj6NN?!47ADTuf9#3dnpjXqw`p6WX0X;zeJm}`ah zF!&ZBPr#aw(Z|P^|FpQIj%)XPe67gsH}N5Y1zNe+PoB}U9FZ1 zWRbN^NX7h9L_Zx@Wwq3&sWuF(|M7*k$0HSdm>!qLOn)t|L99}#d%p28N#Q!htq;rSu_Y!bJMu&&;xy@9hnGP;HD}T~zPpYrqC0ZO57loxWO6 zns6uMR7w@2`Anvcjc~P5w=`R4jcH`vq&MOr6aevk_Ou9gBzpVfA$Dec;iX|8v&hx3 zs}-rZ%}+Q1e4vWX`W1qp&mCVx#qQ(>|E66E3Y@Wji;U7xb%*p;s+og}2*_~2>3&3T zcK-Q;uStAS;_1iW3`b0+esvvn{X0OfFyn|`T1w2390j-M5ld2~WY%l6`%%Rv)N4#sssaH28VuV)58 zkW4)mMyG#GuBn)GjRuHA{?r0lXqK~%{zcI5W z&0s^KNpR;(Otro|{!7Gf0dCiJiv+&Ufc4iRp zOCYV7mnq-CFX&BG6~8(9`Dg$uDP>^;qZtLPq0-(i7&h-|P?}lHAA}jwh>s*Dov|`@ zdAy3rk}-I(BwRN#k)}C6^reX7m(^|r9JC8B(^zd)SUeTCd%P#QzycYYpM?D2NCw7= zpXDaPKkiyZ5S-9c+KDhWd?|P7OF^k6W`YyO{W^;=ocsSnq|pD zW!uN!o0-u_vsx?1Y^BF;=5YNgjF%b&{B@WgJX4>U0UR*i&dyGm>66{4rUOrL$^vXK zRyazV*8wQb5Ru4qIIQKYLO@hIsUjlTCc|;ja*!Farp|{QKUCn#>;mm*>)~oE6c{QY8D+C%tt~ zbSiJN*`ivil^J{HAgsr9Xm9JGdG4+O847q@FiO_}vcW1KX4gb;fsygK0ZkaU)6OLD zz)2yBB<2@eFq|-~fXp|`>rx?^_rWG|-zmp~Pj~vkzz>67Ur%qCium3BEpfCwU}ykn z(g3%V57=)9APW{27J%J|nVA_Ng`)#ddu_n0G*fNRh*epiO|yrSRHC*D5AzL(n-m6c zQA*es^Te)-bSjbgb+W5O(xU)h+92IYVG@+VkmA)3RjdfIK&jiXp-0xgO#b+D5qobKR>sfxoK~! zS-3DnTSLVPE)j%cAwtH-V{qz1*fJe=-RrXQy?$&^0~s0*fZ?UE(aPUHOJGza6q~#G zlStegK*a2irv*AZ6S}&(0zD>ezw7H60bw#?ps0^#WSJNZ7(TnN$ADJ)d?Wo{N|QT( z8Xudu7A95Dy#K>xg!kUiC=-UAO=%*OzCpnqec!j+yXkJWPPeJYlL#wWw|UYmyckh(SFJL&p}F4cFb?9I#9lC=*ibKIiV$4}Lpt*Y7?b*Tb|5Yrsu_GV-Ro{Y;*9a`;Tk zZndo@008N(uh|KcCeCzK;9>9D|LIid*MBZ8Wm8a4m~D1t1Y00F=?_A}goXR5xw z{vl3N-RwA}c-$co3sNf)hIGrLk`-G0S6Rbt_K@4(Vh_?#`>pk4TW6 zzJa$M3C1VnXq@koT4%N)7&ng;{JOrC{cTyv21t8NBopQ$q&1YfDD#$c`X z_hhJ4u*awPn&M;@QKOd`SWj zWU@kGS0baL%V@3L6gv>uupk{`E4{HYKpQccF`I(%Y+S29yGm8vC zqnP5DM(W4EJXPDXINpKKy#kBzuWJgLxq`V_+Omau597UF-z5hZ9xpY`@eR>~(cX%H_$d!l)h7|5v;$V*v` zL%;mkojUd7wob=eRhB{gL!^QgKgxdjEeD`kt5le8&z|XJef7UCk0>Xx??9x-`Ei;A+Kebet%?^O3H?jz%Mm zUyrO>(vQ31O~#Cf>5Gbuxbqu;fa3(?vtlQlf5obq&1a+HqQ2*>|NWr>hBsj400{Dc zD|3IgHgu}|of|;M{l)vi-Myi0Ch65|rRn#aP?q?0%-npt^wLX0+S~K*X@(8N%7Jfc zB(?Oj`Q<=VlG7ht59wI@|C&>C30OGNzb2g9dmV~DaQHKXv#fvi(9N>mPR=e^8z!=F zA%;)R7qHSQv`%h*a?hLlV+68^u3w6_L(Xl?c#B zR$?LxdG4StQUA@+4II4q)6=g%vo`ukcuRXD9SDVx{tN&&!Dl?$q$fi9-VFHupQ{vr z?gPnr|6zfD21)`c7)sKdQbgWBiNvIL;O6V}5*Fc+nw-F>$l|kpY*yBV%08(_$=HLj zLx!h3uYJF%c+@*ipLvHwl}(&&I9ar7P*bu+LeTLW##_UR!bvP+>a5z1-=)22V~ZDnQDO9~U}$u6 z!fnQqk9LVM6j1Vm6LfGT0-|{QALt34v&_jpuJ=IVXmc%=S{nryJWvT&uE=R=j{qfd z&-lpP$tg}G4ww|*ySpa?9#FUARaxLg7!J-qqWTJgq@seT>g%z4Mm5XAFdUDIKA4ZN zPSwP?&;-8McWO-6zjVn5oy@Dmys9sg_%cZlscEB0uQQaDwfo0~4RGv#$mFt@l&F^b zur_eQ%qImULo}xA*>k?7$HCKeo^Q0@-et+1?Ot3oN_~6#qWMpuCpM3Z4fU25r)a7F z)3{w@vfDRszLKcmV z^*)*h>`o{A+S74%5C~`5BO~KBpGc;g%u18!1YAL0Zr-AnBW2H*?ePxIKib5_Q6`4Wc8 z8_3huXYMNg{3wmCMzcM$;?8H!Ar%SP84$+ChVO2;IV=eGIdJ5QHDu)a^r8xftCgtr zvo*k#KQ)lS?v}s`W&FrZ)?GJPgCTX7$atCASPIM_(T4hMjGLgUl@9NC*M>f(d(JtD6 zdz#D9M(+`(wwvBJ?a6a}Z*CR?SWf)^D3!?%$t0{|9_<2^8LWKfp47zuX0|VuGDpof zr$w4hFoQaE@1lx02N2DV#%le1%$#gXb)B_c=)u9@b?p#dELu!nW|c(h*kw+q}QALe@)0i#)KN07)K4vcZtjOkt zkb)=T5*Q4BQPR*TM6pBQut^5hXr5W~fXV*(oSsZPw?HM>Nw_6wXog{Ul%rN6c95qi8d?~Lja zg&ey^@Fdm#z0)+{GvRVOJRDhc-wyCU>vPZK?y$rp)!Lv&p2%Nx+4K7ccG&t(QA46zX$_)Bd|NqQWW_0Xwsa(?`NuXODvX z4VKVe)VvoA(h8o$WX)TH*+2GgGF>Z~NrK_W*UN=jEgosP{x_Q<3s%T2da3IhL!ZA< z#zwV`F+v%=MUcl!H$Ty(GPmPM+pP?bUwI+QhTb;j{)F2aIe+(;tlfO;`d}91V`l^m zu1&c>B~T}SO@ObZxI(?A((z~RqCPR-4yO)cv!wNs<4@*M1|SNUxR zh3jkG9~-(b1{cgxtYjWc7t|c}RjNAtRr_#vLX_Y>KIs+kP8d|9$dQWne*b$yl?)Tk z&X;FJ=6zWBBRpfN<(qR6w9CqqBq?eo2SEN-k2J~n$paHMI0l>LhNBJx9wN*4w8uflz0Aj}>2haj^jCa#j!5H|PnZ|rV-%9+T83}Zvj zlObsqGEAg{t~SQY2=s4UJT6xc{j4PKv^d##mvHDgNkT9ED_#Sc%}YP7<#{Zg9Pjv; zyRLvj64$2>~TFZ>BseHw9R*Mku{B9 zc@@1k&!^AGSebD?eM`I%nK5T&$cNKI_v{C)b6U zti<-sEUO~PfObB0X<-n@*HO!;{Wd5rCdS=h1eeIdUe|f4&aqaH^MZ>nsB?5*=Dh%#ri#;>^(I2ILewDq*Ax zP1V#hycj}O%Cia517?T?To8>aJY?%1hnH*rh5#a`%Z-FZ0>QoJ5QQWFvqzaFb}PoY z95e!3s<97uSNVv4CFGap!oG*jn;%&9Kls01hp_W%#S`Sbr#t4&R%^=UkN~kEXzUeH2GM%q%3TXxoWLqnhP#vN*aineTuJ>_G#Zrdmj#{`&W0ULfo7m6Pj*U-wC^!n0le+hnoE}%A@9F%EQ@y<2#bsT0Se+e}eYt z=#N$-8Ihwa0b6IF?9skgdo${Cn(hFnlJ?(9hc?bLGKyeu$pA0<$eQ|--EMsth$ z-L+9>|E``?BN65*;&a@?2!DT-%7=p)nS$Yvn!zF{rcztF5s>>xvTf?}vbxosx-zwQ zz*AV({@I=;ZZvTygGZqYB&438v?d+s@nGf}7Pp2r4EEc}K^<-wVKUvF1Yz;t($CPARXA6J{rd^Hw?u79d4cd@S#QHTHosmncMNe#+*?+ zSf!d;FRY`H$kY?Ooj?O(HXA!(2F%tE{u?LAEVTe`Y3Em=CUVJ2Fgnduw$KFqTY6Z5 zM64Po?!pL76B$(`Ol5j4Gsq8*`48D1uWgiWx+KDF)kg}dEK&Jo-DhHQ^^O3_E|&ep zsyz5o;ukMs%1*O%*kb(?=wHHT#+Z1VV-b>kaE?^tuyK4A$f;Y#_RHRpck)NJP5Eu- zP9Ea=MMi6U0Kw9sH7p$ME{2IJrPko8d-7BIhEA8ndnl!?nMy>WrN{X(Uj5IP%;fej zqZ1QEJboK{Pu?TfB0-PekF4|OOz!FgMqSLva-0M+rTMF$7ZLY?{;orTl159!nvGa_ zf3ahOd*y05(Vgb5=fLmaePu^f0Fs(}iI|~st>?$)$=iB~<5{Fc^Ap+75TSF!u*DtT zN${A?by2QZyd8#XiR_2^`%I7!2m)mn>PW!gf@~10+lLV&sQYJ?65n;)hQ}uaqAWGY^rv!23?Wegt|R9bI!yg0kY243wu$&4T=szFV=7= zbt;3uZ=PmIUA)646iHs?cH98%C^rz8dDwZPYeWd`Y0JXpdt+=fWu>GKwsF&hX~}qj zTQ3j&ub;{P?3_I5CT=IQCb8jEr*7Mthz?pSz~;$$`~$SDzKFnkR~@((%_FwGcy{Qv zq}@%6hC#3Q-IEK5c!4uROez33a=R23xxe)4aHVzNLv}Uhib>`2RsVOXk1l7j124q5wPSEev!7eNg$QJ7_gfKAeems8gwX0j1B`f_N(3j`ktH)Sbq z5xe+)w7KTrntQHopoN|kGX@eaQ%pS9Ei)abjd+V!vxSRS*FelmN5Iukb<1sJZEY>m zHe>w(!;%r3J^>2OI(oT@I1Tu8a9iax_v0d3`f2dj`R(}KO2F9zvikb|s}uDXx#ug` z$L`OVyP&ZIjyp+3D5lz^VPI22cE2#@5&(FUBWHzgJsQG%g0(N zBK5N~=)S$$yiuK#S6N)BJTF!Uv(Yao0ckTbT<>Y|i{EcQA1}#yYzbv4 zP*$HJZv6JCK1v%a%jNxVa|u@^7J|M!$ZWut0=s|E@d7Mz$e}eOP7!CQW`i9&)3asYRaa+Gi zkt`>TvtBn$r1#5vLF*~BGiIGDK?g&N50#X?*MC}-myb_pbb-v=Izu2AK7M^q6AG-m z{_Z3eVx$m!C#pN@=a9b?LW!IXIhopUpC^EEV3}EQ=DY*^e&pSMRqVU+0rZ(_&@2JxcwZt%&gM?cwD;GQ%M7Y=k4_$hru{h6ytyfi>z_0?)oe zjL+vZrUX|?G!3yIO(?3#O=5{}^Eu+PcKIBA91+5|&r7(Rm6NXSoB9}|D$zQqS3hEc z!eXN8b)~G>Li-=C5L{@4-gcsai!NQtXiQ-nO6B2Wg$br}C8JOi&#{#&HSV}j@u-+F zO1{pe+wc1iMfj{tksHnST9H(yLjDd*87D2fH}b{XR+=JV9z77b76U+L{6d5j66@16 zOtZA|)$KXRXxlKn^4+jt6gPF9Qr0zgz1c)(pBju@4%vujMvKG`aeJ!jimj)4>INs_h2+03cZuFa-pXi}`lN>~DMK73^n&uX8=6OfP2 z;cM=nXO2+aP;29YjtIM9d(1fWueAyhmCraW%{FOrc>B8k`z!SI=llD?AycybMV~Ed zgIy4i-Fm6P?p*#C0pFnQBzs$Alr3==bAZgGc!gIqBN5=>?H}k`iwNKX!oEf^uuQC! z*$|)u1MsCmH%#eQ-Y4x4JJ&Q2WPJXGkplblCsmD*LjvaBD-f83jM@{ytc