From 3b6ef8fc9f827a9a39f87cbd9f79af663542227e Mon Sep 17 00:00:00 2001 From: rosco Date: Thu, 10 May 2018 10:47:53 +0200 Subject: [PATCH] Request to add 3 new audio shields from raspiaudio.com Changes to be committed: new file: draft/boards/audioplus.png new file: draft/boards/audioplus_speakerplus.png new file: draft/boards/audioplus_speakerplus_micplus.png new file: draft/overlay/audioplus.md new file: draft/overlay/audioplus_speakerplus.md new file: draft/overlay/audioplus_speakerplus_micplus.md --- draft/boards/audioplus.png | Bin 0 -> 173667 bytes draft/boards/audioplus_speakerplus.png | Bin 0 -> 173667 bytes .../boards/audioplus_speakerplus_micplus.png | Bin 0 -> 173649 bytes draft/overlay/audioplus.md | 71 ++++++++++++ draft/overlay/audioplus_speakerplus.md | 99 ++++++++++++++++ .../overlay/audioplus_speakerplus_micplus.md | 106 ++++++++++++++++++ 6 files changed, 276 insertions(+) create mode 100644 draft/boards/audioplus.png create mode 100644 draft/boards/audioplus_speakerplus.png create mode 100644 draft/boards/audioplus_speakerplus_micplus.png create mode 100644 draft/overlay/audioplus.md create mode 100644 draft/overlay/audioplus_speakerplus.md create mode 100644 draft/overlay/audioplus_speakerplus_micplus.md diff --git a/draft/boards/audioplus.png b/draft/boards/audioplus.png new file mode 100644 index 0000000000000000000000000000000000000000..04ee1fb02c74abe608d9a8cc3d462d470f95493b GIT binary patch literal 173667 zcmeFad5q*qcHj4Yd1uyrS66jcRo~b2OwT>Lv)AnGIplIFl1pJ}TCfQU7EKtiO1`QdIOvtiq!loqKB1M~&L{ZDVui2T|otd8QnV!D8>%Nby%&feRpFiJ-%<7&M zZRjrng!5OG`TPCieDUJF7cXACi2UuJ{;ALQWu`J_*7y0(ed=epU)3j-;QO!t@7Z7H zmiVQg`0S@F)Zg!Y^Pm0>A?g40b6@^7vz`%s0{fqTcap&5uYdjvpH42tGpT6bul{#I z-E7W2|EZUL?!OG|+;83d4N`QkE(W?7=whIYfi4ER80cc4i-9f%x)|tUpo@Vn2D%vN zVxWtGE(W?7=whIYfi4ER80cc4i-9f%x)|tUpo@Vn2D%vdi;98ikG*iA;|uT?wGCak zyBO$Vpo@Vn2D%vNVxWtGE(W?7=whIYfi4ER80cc4i-9f%x)|tUpo@Vn2D%vNVxWtG zE(W?7=whIYfi4ER80cc)AqGA=@RcuieE}Zgqx;mwKoSsG0??87Xw`kbTQDyKoSsG0?@pUvvy~eF6TW z_h1+PE(W?7=whIYfi4ER80cc4i-9f%x)|tUpo@Vn2D%vNVxWtGE(W?7=whIYfi4ER z80cc4i-9f%x)|tUpo@Vn20n;^t}nm`@zH(zx5vP_-@5r5|Msc7DZ3cxVxWtGE(W?7 z=whIYfi4ER80cc4i-9f%x)|tUpo@Vn2D%vNVxWtGE(W?7=whIYfi4ER80cc4i-9f% z{#{|SsG0??87Xw`kbTQDyKoSsG0??87Xw`k{QnXI!T(pQuV4RCqPAxP$!IZCuI$I+R*TgmVGwDhlCgL) zEEfySAe9NC(P$*BHo|JNSdWK6XjZjI&>K{(X8MoEgRn-JS&~oH65RD3ZN?(5yr9_> z96=BU(O4!DT5l{28ts`w_SNV-P*2&yFcOVfOzot-b?&hsq?HXTThOe#XQBa0aeVT{i(OANo^?E86>x=g-Jq()>Yc-TK5=J6XS{-k-T5(bW3+1|2kk>-~Naryg zsqcFs3{Ky-5o7 zaGI769WbMyo&PGC|ET)&{QfH;OVskhG2E! zLTS4wm&zreNQJ5p7fEJsV;?jo;P zI%qW;uJBf?>7vv@zElAWfV}v3*FFV3Yy*!C0MoDn5W6pn&vkhd%$JN)OI}!7D1$1bQ)swfNrOJXzZP=f7-?+%GgsxtXwBL1-X28+;^k9Pp$Utb75E&&<1;B5)A`)@<-` z?NKX~MB)C?(T>aSf{9TRl}LfEOL%msa-FCueZvV;B}yt#+UXs$BHnxlsuB?LXdaTg za><80lXwGnz8){6T!QwOhqKx6u!uOL@t9RB4Tvye@r3F@q{g|cD*gnAQmcN!={knH z$X|6S)ghi#ODBzs(+C=IB(MCgbDdD39R0gbr%b)m2$ijJTpNG@xWph$2u#DH;$0ZT zCw>vXX#i2#4L9PFpSo2&5}x*@T$^fDMoXB=*DJU^Q7f(Bh(ctp9~ADyiRz+$!NI$T zU420)v*&*8FtXB6fg=432a zKM1eFSF_%rO-;g87R=*tM-fyW30gKW9@@l&+C&;4k#gaL2^9#3ZPDQJ@oCfv?Y=%&DQ)BKs^YHp>c!zu_4+sl7}9wL z5-bWwYjp4{e@sL{Sc8HEe;sLFtxy+5q2kk1uqS`${2fPvsBqSHQ=AB`s%QHZ1cDDN)2hb3aMRLUZW zwA4b2hHVuPA{UR;c&#B&C5r_I10`+qj3z{_VtHS39k4>gRme5qIb zr3d+1tA}(B&F@P!ES>O@23m6+l+8z}M^dU~{XKm8dHjRx`7z76*_oYo*D)*+(ZJqQdnKhu|{{JafPcOsz%LL`)tEhKEelp-xc z00J6Fm9399Pax1N+INR^VSB&?o3W05$_R$d8vnoB_@Nf^OZ1Ifg!c7DcWofe+!O64caXN5OA#ABcDgk> zHC2x^wxY?>wb)QEV@9=M`2ywdr7Sjiz7}lPKjP{3CJ!snMR*%JwK3Chz z?#AntVvx=xt#6>$n)CN8ySfE4%t94$YZTtM4D=?#(O>5RJa>tfoN$k4Ea<<)+dfkN zt|2{{tI`VtiU0cjuYD+Y@iVXgolaieqpP?6&S-7#8-Kf1SbN3x%NC(g5hkO-&=X;N z=)=}5EHZ=?=p0EM|MA@8JJ>xFUPV%r66eC$*h}Hsy(K#}TM3vK+vZ-{f`Rjv9Hb%5 zs-LK4C##U*xWYDw~kspHD{$}+DWHO zvTOtWNE#&LW^u}5qfgtw+>2p)>_QNVNSY-Z8#u6@9^$v6ww9l=o|zXdJ$~NG37waRZK5dQYP*BUy z2mKIwj1gyhH?ZZsNsEm=YsrBVRw}h1tbH5k6J}e)M{Hqd(wcowTF`UKb_*%n+bY>; zA2>?2EDP~3Zw*+3_QiXr(YVuADdcUam-?Av=MRuJ6QA8X^31P1IQrO^R)fq`wYay` zp9=RP8oaXm5zB^;wT?Xf%Y}(^Uno_B;drgE9nA!LjBenr2y>-hwAAchYG%ftwZy>5 zuu|9#5{>OZ8ph@(eVF)2coOt?+dp#3RR`UD%$yV-e4BLmTZ^mpl{*lVXPyfo^ z^o38CdPdJjvfDfH*1@s`)tarWM8na`U#_G_<|1LNKRPge7UECX=JGulpkl{oup`u~ zmTc}@N`hAmB*`O&LB=sw0CNP>i5J2R(RdWKwzN(8c`3gLcBz1MbkD?zzxK-?z48zK z(aq%!6U7Q!|MHibrLCU{P}?xcc&XIF(nTj%ip8*s)Q<#krN(0o%UEbqZW#7+S!pYh z=Lv@8RBgj*J6D2OBgZ5a#BIc^)pyp$PkszyPBiuxEw;Xp1Gy#Z?~mHf7A+cl!um!| zI~_TaoDK59S&Qc1vLPf?_8?&gjcM|K*oNm`XrR`U~gx^j!YsM4q?57TaEY*BU)Y&uVB(8+|r(;bS&&@;q&bH=DJ3G`n$N{fTuemmq)#85_Fz zxD8D`#t7AGYqw)IKfh~YkaRkv0i+y+MGEHgL>gGTMvjRhNxH!Lw@wuF2uB?j#1 z)QNC>=3=q3znr}P##VeVd0=B>NdD?%edg?!)_Vr#T6=pNV`1t{Bp7?SR$O?Hj;5Qo ze=r=5J^QoeRPR(X8c8(zC!c8T6}BwD^}vSvnpTOPw&>W$Yth7TvsNyqBVkWiwqrr6 zauai8%hon~ZS?tPtp+kG#k}glk)6N^@NEK=G9{}4AYJASKRJ}B;<3KAPXN7mN}BN9z7tnGf; ziiIFxxYPtq6Hw{%tpk`LhG~%DteKc7MWU%ZJsgIW?ZM{3&2+N1G?*Fe=^)T%N3M&k&ILaT51XmjJio#;Wa z5s4!ahBD~G$stUzqo{k8dMp+zHL3@RWV$CEX`pX6N_GGPm6!zYY_3}G;DpuCS&OKQ z(JG@-wa91@Shf-cb3#ax)mKj_1y%9Ch8A@qg1l3)%(^vFm7vW+m>4|*{N|x z7znITDh0v%QuO}yx1&Sj(^k%{+rfUt`jFm9M%V8B zhMLoCR4tdo)XQj4ue}eG*6d&h#w}L(G$6o;?PnWSjO8tuzZ=xUM6|NM8p#(*))>N2 z&_L2AhFOG2jm+PkLMQ`tZbLypBp6 zr=@Og8}wQ}S4h0~<{zPUMNlsjL0HP#U=sBfwd0_GnSXmFSh=$berq-`Hfj4iIU65t zxH(K2H7i?S{t*^noVcY&2N5(Q7BDhuo^x=}3RadDh7a;viH)WEkxNfL*^JhAqZvl2 zunz`o)Pv&A`oP`y-yhsrycV53anwqCYc`R@BtGcI5$fBF^^b3>AFZ(Y0Q_#lKrERs zg3EXiXG#Eha(VSl%u{Qz#L@fIZZP!p-_HzO{7%@cRLjNu*=B9?ixzHwF$hv9UU$$~ z(BT<80vRyNFmz`BbMJlQXMV@*j~+M%LM6HssbFfP4l$4}Sv_jeF{`F7S|ok)4_b+- zKS=fs-HL~?upXA4sPB&bLSgdJvA?^oj^6US_OD<4kAt3eNHEUPef_rWrCZCGY;31L*U2GU+C zY?39m9!AR5EmYEJ<{^9QX|3_Z(>-V#S>|Czx-fc>T_3MiSwCvj5G;jYd-t{t_GsM+ z98|XL=9}MT2`Oe9%l8sFM)yQ*)5eBD4wHiN_HEnV9!7}tAdCuju()8+gH1a!TecqN z+QS1?yLat1>tUJdAe*(tTkkRQlr42ck{$+SRJePiWamEianc`QE{UqY^tPp2yN3OO zkuzd{^k;vX9zHT@k6$=x<@|Om%-*okw5I{hiErF^H5Q^>q=JgwedldPriz^ezhVaq zV%9f2X8D~}gwqy%Tn1L~9HIB5pQ)qWDALxLAWT_^4`Ro|p#RCg`=KxX4}Xh9o$Iyh zZ~e<}?k;?Lw-Oe9Dc;(!M37^Y5ry-SHM){JX{oKpdG!or^-@%3YMB)^#4*NTO-orh zK5JoY_J0|j`|1DY{L`OV>(s#g)_ZUL(OcWay=rm4@TEjpf=e|!1Jrg!Qaz+U9~o=f zP1Kn@L|xj?1^MkARI{254>3-5m-BXMIAjGgm0t{7Qhesp8Dh zF$@)_PP0bKB)L!ut&Fr@pI@+^eV7KT!WI+H9t6ojF|^4e5gQ+^+B*;KVj!UdSSuM$ z)$P(Ob2+R=G7y|S5!g50-eRJB;0zEEMvW5}k<=JaWJnm!wMeN>c&TcPaJiy2hA_Sf~ z*|6DJMlj|;XO5$4rsK>nhK&VftM0Gck^U_k#?XO0v!2>@+rIfvBKvk4+#OhD=dMk} z-nT(;E9pEtUbgMq-?EkRIPI@mX>-xe9ob=|@m9iV=7KwmZ&-=^10w_AY{!lc(vJfO zOv*n!+pwLhcWn7SBR%vg3);z3O&C!5BX;~)$S7U1;x>YAFm2_n1skYev(YK9Qhn$N z=2?}RU7KIBy?L0Df$I1$BMj4F$@8&+l1;);cNb8%V2EU_VP}r1UjjG!CVEn|={-jM zCChDXK!gn&p2Pr#k)6r)1I8kxEKnSp2isX`vT9((4emfrrx@BO{4 zgZ&RTT7BnwF(xD!g;+e($YRWC==kQzPM(-s26Z-AR53U}mWW0S=rgBp$NMh*&W|yV z%XjISpSl12fAMSoSED%pg;sMh6_r^MAo+5mP*iIh5XaFeDhw+S(2~^-TBuEC=T1l5 z+&~Lh!*s&g=>uCthT>%#K3=y`bbB%T z(2&^^%$1h6-?Q@KZHv_znUYnIPUmUO0JxsKfSLqD?dO@Wpz|M_4DHk$#LW!44=P+}(z)4`on5M`;p#Dr@xO@C) z4tU>YUYW6w{u)Mg(z=kYRI+6sdLposTNO;!_><7SG5R^?*2=&aO#aN{SOW9!Sf+m0 z!X5-5qo;EQAb%#)u+KdovFB)05Mh)>wI7gy24SNMw+cHqTes<9tahkTi8O7bPf8V3 zZ&cVwW7m4?-=a;VA3I(VkOELo$S`+qxS{3&9SIjM5DP9QU5>Xf(98HW}|IjNFH(cPK z^EEPh?8e?kGN)w`b%ZYP?GV$kbjaL1cML|F5F^nzkP^dk=2S%|6c{=y^!2t9!#xU_C0{=pAL z5Kx}_mH1}xkN>Od0MPaYP!q(6TDTRSQK)T-c4+-8mg-??1x*3!Op+g09ke~!)Uv=q zS?&@{BK}siP-(gr`tXO}LGU+Pum8z!t=CZtjXATwlwX657NqNgVfdSn>)&X}ZO1i2 z$(*T=hAAMPNN)$h&!YhT`Bx#o1CyfAyAe$5JHW!T7)l=@c54@j8qKhf57lsq&|?sy ztW|L#N9m9Z`wSG#FcIRq74$L5jZpw45fArcaA2B%1q-(=`|3Y$3t+kxJ1NDkGE3WE6FBgrbEysu0-N7;HBWF;gr99F{EB zV3?>E2xqd56oi6d#Ec6|nBDgP5egh)v^q5hgRu4{W;iGWcIysi>1q^slSucPon%BGLg65q zwqX)qM{+MFt=f#+2!icWy5!U~$9dGTlaJd>D<9V%(AIy7;EeYbrwcr#=6iT27^Y*nzVQ7^f55`qEVC04AmN$)s z+k}muc)I2MQ!$v-!bRpmC8r)PtkcrSu#F#^WRy%Y0-<3}8v}aLS5XtG2TFCT%Ieq@gV?!93ZM7CrrBIMhE7G|C0Lz4y9Zh%Q2;A&a$9yIZ_y z$&)YHk?|=EESt8z`Yns?zr*4*ZG(B%!e_#%7rtB@nK;_qUb`PzyYl;~SoW$@*Y{X+ zy0J0W^T1+#eN{&aEeTVIBu-XTovb$EU0^B2$M*1z+d)puMT$9?U*Qteue zM(j)N)XrJd&1D>WIfi3Glx0!r-U~P9E`5@8Uq39l{c!iK-+yZB#y|YUWMezdyuW=3 z20wB%aERT>rC6AqF3vsv>D_v*I$k=+HAlv$3R|gIrn3L1j2;b}UuI4)^V8LfFa2U6 zo=E10)-E;fy_FtZ+x=Q}3VZ+h9%~xC7h6-Oo(X#Thw$J=Qs4QZZQuL0MfwtU>u%0g zx109Dr%s0xa~E3U^>XXMm9NF`FI};VCowl8l^?98SiU=>W3jnb$s-(^)kmbC(-&0Fv0ZT$QUb^N7rx~H#o?bSa^U4P?y z(R27*<@Q>3eU(y1rtSGpd^W5zLap9?$8KL;4W|2ZFQZAJ!<6QT42L7=j_7Mk1=4UnvqsH`rd0Bwzje1q`Vkl^5i*t?D-E_ z|HNEaAD;>qUR$@_o%d}VA+l8(wEoi{FFpB@PiM=e;z)tDwbh%=&LBi68cYpAp% z7h98KN3lRRqJv{Itg}6Z3I7Jm5>-ZC7OGD?gMnl?sFcgGq4C+Udit}it?M@<{h6|D z?8L3vbH-*)KZ#&S*wARvc9!qj8pfp&j4qnP^$!eJ=1!bh+uPY3+1uM5kdwBAtK5FH z=-kR2x0&-#*VCDS=GOXyn5D;(Tg4vhW2D@}W8xTI3CB-Ak>B3h=o=axZ>664LTjl?|O%d?4iPcd<>XOP3q2ZcXW^>Ff1{3K*Ztr+u ze<$6nS0jb}tqUpqQc#tch9PO>5{RHJ|e$)RDb$?S#6OmCxD%Ej{sbQ<%YI6DmLsDV8x zEOh&O(L$jZSzTIy=!f1z4$`Qkl8Jf&TvH=F0pLHm1Y|`v+>JgIc1DC%W>B^2@l1t=)YineIQLog$6J zJ2%7ZPA;equw8?-%#G!RWTW<{!@HXs(eqEfm`b2D;1B?zOa1c9BMNm&W1ErCW-L{B zJ1AARe>Io8`6XPI)(c_dpkCb{YZaHy#G&cP(35yUy@{rT(=GWOl_P}VKTq(4xe=%n zD`u@WlNKL;DQuOOtg-q1PvbuE)YjJ6d?cDas8w?Pt;){vWaYt72K&4wp57}~(D}w{ zG>l^ji!+gLvN@pHYQ}Tfy(se&6we^VqBdHdpkSb5k#lYSb}E+c$6v7#?z1Ko;u(>^ z%xr_wTWi7g+H$PM9-76wH?30I$M31vG6yR-B4*KrV|MdS%jR#~4yTSkX&d+6j>c*` z?5|<2#Uxgaw7DHzWJcGR6;I+H*k{`tJ1nXmIF(cIhe(;FJGb$S7_;%|nPB1eT`M5n zW2ogaBuI@p$nIcvzL8j1!gP&!yFXgT>c+^?U$Mp8n|A9a9s-#Go0^`s&HFbkpDj3b zR*ei%skWEyQFqdow(7R9u#M(hvpMF0nk=(AZ4d4&*wNWZ@F}?Cv{O!=)K51}u-3Ah z&D)LZcTgK!HWJ^*Kk&d}OyGsXpjEZIH*B`ynDChg1z6TJ5|{Q?d-qeBAsjzj`P_cb;>~yLD3(;|K&j|~-M{{3 zBHq&%j7^^mufO%WWglD(j-JNS2$7``VmID-(=x+jkwPg*-Mx7;QrVfePd}!8QCR3O zR%GwavwnBLD9@;m$$w{qHKiFx0#=0qidavANI6kFDA?GM8MZt{SzeTC6FB#)!o3G} z^}DrT>f}Xs9t=fu>#M;S!l{ADx|iu`_Wloo-3NWK(IZD_%QDLcOIFX`cPcf7P>hIP z+)9HENne8ri~Ed3jne!$yb4DB1|qdv?p@8s7J||*jw_=^GkLm8*S?$i2mt37D)tN-Jhjp$;aHrQs1Bgxc%OG2)g@ zR>DTD66xvd1DC{V!aV<@V35%uV7@toqr>XLtC4{dA9u}^cY*EQv$MkouIv=H_=P*) z53ax4XKTwV7#AQQ2>SVxEOa4VhcRbQ^@g^&yBpkk>-(v4cGKe3Eju=f{tseD8AbXQ z5A5By7A)1D1!laP@EJQZRdtim6a+atUa`0DFIsOF-FkIBwzIrumyb7@M1!cHZl{k0 zc4K7^&x~!%r${Z@d~&>ql@VgcbU)Q!xBFO-wiX^(jRjb(d!3ubLWS8~UdVG3HGAXE zntki**9{a(bD z9z4LW3qu3Of%8WzHinXdp3PSp69IjOgCY;A4cB!2J^mj zujMwG-wmwV)F}N)V@@2!^=W<6-o2i++c)mBx>2|1&eCqe}8cWx31#kUcB23{T5_hVYA6BJ* z;@Cz9P#BEjDivd>O1;8Hx;qHCJ@SD17PGH^JFrvdQnp#bvXhvTniVF~IO_pyVS6z+ zH@3sNMcab3g7aW`iivoyH4e5}P|MnJSzmzR{>_%eG+K>{-^Tk}!w7!`C=6Ry_T~$_Oe!WoJCJrOhv28&&b4_2lz>wVPDWFDyp`Rtnaq0 z8p)tW#xU#0aX7_fPMvXHGW7*NV33y>>r%W1(#v_jbx6mh7 z7NT5U%|(P%ZEKJjTX;x=JbB=1QP8ayV9Bbn*3)nrq}B)gr?W>yYZ49o@CvL6Rp^8S z-r^!2Cp@S}b096=m2fgu&2s6-IRJsfD{YqRev|qS+?<2OPP`pbGSPDhKL;?t5(K`C znL7^f&05vwMp+o79Z3plV7=4=u!y&enH`sDXM)w5h(-Xma>GR49IpOWyW)&2QlDI( zD2$O%^UfM(aIGiF<5^xG3Rjv2IMSMz@<^z7+)y@o)IMp{b?_vCBGWp%$*G%y$*Vv2 z&rR(SOd8p=W+xf0K6C<}_A0OPx&2wh30`4Bs}B0Ojsvk?PVT_IekE0^pqDl^zPX?hBJ$Osv>@d<-++6kN2n8ND*U(wI-pQmA<$eLH)E5fT%$=91Jx{i@r; z=I;b6O3*7#I8%f|+Gz!-mI7Qd7vo}m9}}tM@(XkYDXS8aSNVK9{j*XCmGBmM72Qd! zXJr$fl!{9M6zp->j%~-OXty@F!(O3%Tyzk4gLo&Lm=)8DCOTyUl(M!jW^oQIJ3#;d zNZ8FU;w^%ALJH%~P#SfX5z#ZY1JJia`Gt%2-9ai?1fRmxVAtM9Ds$lq?!+m%kJq=d zyQo$xS!uF3#nnFZK#%Q$ltHxVlIun`f)1-~zq=HAR=P(kA?+w`EhEf74h~pDV39-ay=1r7*h49RChUOT^I4H&^C;UbSh;h)B&hau67!dE2mGP zo5Fb)tZjH*^do5=4&7}SI%=y+(=L}%lwZ!)8W|cXvwW3Cbir!S1GXg4IE#N8ck7f_qFM_7F6(qK#mUoI9p*Eb0$)S3BbGL!`vgk(V^70Lb)wdssX-g0#a-HTL^uot?hU$=-_Ym$5 zt2^lUB3(OLZSh$1wqclrgAO&{5$f+-`7f0#Sl4*)tH%?*}DO!^+r0WH9m$|0i=%!46B9_WVx%;h1WZ>+mZ8|c6yb#P&k z`7w+2QppEWRTg=@+U@eFu1C@z_LG27u?{Gp?iA?W)qYp8OQRjvVss3f_)SKcTjGy7 z01>0J$!F6yjP$tD)Ed2RG_rHQb@MkK+O<0^rbk{WXefYQ=h z{W)j_rCQH_9#M1S8s?N){4MsSK{wv z;z%PdYRB_HBIWT|abl$*V&-UIN9O`NcZQx|maQ}@gVQ`DgPgWntFS17$C^7K1jjDz5|mRHt{p)> zx5uXbItxa)cr){s)0vkR4od2O1eOjS1j^y1bV}1n@9!?G^Vp74GLNlx9`~+-cn4;| z?fTb6JIoN}I9K#ASMurDX>W+J=MV{w|zp_>ms&L2(r*GygwUe!E|^ zr+$8seIa;BGY?|ZQq89De^P&Zpids@9}kg-MQ~`Va}5hm22Wv~r&Z@!R|5A8adIcC zhM-pF6mBs|&LOx-Te-oyY40# zt`he}9uZilR*G_MrHCHPLKz5PWr0~ABy;LC-iL^rC_eC~VIp}AcB*uF92kVT>II8F zovZWAU5}*k(Rvr;3OEdG$1y=7%;#+9b4n`d9)VYhb*PoUd}{a1@bR!*g*+_Z5tYlL zFYM~WEqmc3#rwA9Qc-8AWNcH%S&vXb6g(&+t8=^*8!PT2CT>sU{iH1=n3C#bL|9+!lTHLI*& zu_un=(?5u3Cx;!l6HC;a)FJ&s*9X9KA?+_k1B`1PsesJ2!Ns~bJrTx#9{uExzsqQV znenfD4$oISV;8nON`z-$lTR;2H9*3IHFaOM=n>V%dNV7^*YH!o~3z4 zo<1c1+ziZv0QLRW29dN480Xt>$LvS*Od=Vv1c#3Ik*QEeDCLUSxj8Q6Cofm+v6GAz zj39@XYpQ6Ma=ip`&w{}rgu7h7@8Cpvjl&%-7np>xS?wN7*-M+M^jikTR=+BX?#Tu_ zCZSu=vKBKPULE@Q5)$!wE=Tdb;evrga6ZCCky^g#gA~%tvYIJ3UoVCnU@n((lFq01 zB`C;UKp@ka+w9?>0yqZ&=>(wz)8Ew*3Q|e_i8uG}NQG-^r)Y=A zPTlP&2dp#YDL@zHIDk~4o(b{=x!4bWxe6W$5)n|Z%ZB$n9*+B&Pc&-rkzjX!qcMJD zy0N~y8apz5ta)|!N_y(-RD+E^(NWwdhNkx-{r$t?`pRN3J$F2;b3b$TT-Z~(rd3#u z(m@KtI9VL;YS^MP*w9BYW5d>2_Lbx2z0U%XMg@;MFyN|fv7@nt zr>!Q2zFg5pu7DQ8Q9T+#hSt*}(KCGp%~+047;x z(kf3T+3-h(qxSKqNe?5YnP}dcXUc+L5qWg%^g^k2*KtQUYoJ6oDGe#%L;hp@hBv%yqRDsfR>s)?RXoq(K+vRog zx?uOxN#O6U7=^n_aLFz~06nUz+!wDdOqIH{e7RwtcbCWodbrvp`E>rpF^H>3@9nhh z1~}L|LBxsRW22X9_2fjnQD2Qj#!p3xd)K1TvB$0dSgZB)b04X{`T94bBQsBgCogV= zmmYs6{K5CWZZCf9Gxo+0zHT3T`4zkSz4c&`ZK*Qwv{+5pd09U|= zBPKp;;L<(AESQSFdKIRG`q`#$-@F#JS08wx<4A*i!dEYIpTCMKJ;LD=kIp}}&e7o@ zr^5MqXbu&)&XMjeRjc#r9)vkQ>OiFv0$n)4TuRzWrj)u|c`gE!>h2iSWp#sx(sjz{ z+?CH=PQ1Cy?ZSPqCtkukmC#}pEAkShbb{T3#|9Z)IHe*i2UeGJbbgbWb`53wM)(+(7{ZfSnO#g83;sa_!YyEk=WuJ$briAAcsWk3Hq&qua4|V=-z=-^8O6iu?G5z@EJb zG&KHzISS`8kdO(0`i5#ta8sPQN)8(d3*RtL%kJy|KQyArxLBzsm5d=}i4mX(Q|aVO zjWB{qf=AA6+9&Q#mn8krK%BkixOB5Ai?g?#?@o@wS2oHHK8+wdz`-=djV9{xI(6(~ zBw$wnVRF&Hoq~^itMG(C009RUH9@3$r6#WDHHvBFoKX{Xos(%T&1l%uHg4>5l#_f) zImTU$=E=8NH!(pxA?Gir!s+(#65+_V$J?A;kGc7a@`)j&XX>w0s8$!XVw%#H(sqo> zPzv9v{!WmyZF7znE3HcF(<`Ckbjbi;l);L?G&{(&)zp>Y;yrUX=69tN=)whKy8u^^ zBnfI2tDQZp+{sDq@KQ1XM#m_#{yd!R6dhtz8{IQ0c-G}5km};2F#Q9JAk1>I+uhA8 z>4RW6vb(c`%R$^W*Orl7!?w1%6il-bVQ*(ESmhwI_4QR&TnBM$E{3}tB2dH^d}ZNI zP{IrJ80xar5c$hgAz%)oWdAf$7EemyTa|h&@W_+ zOov5;_O*BF_Wla)*)WgPEMY?<=&=!eZ=i{N<}MtN&Rl|#iaCvrVfx(T7+HFOX(wV| zzlpj?slEXsZv3F`H0_-QNR;$dgpT&D>)2Q~dQgvOPdE@0NaYeeh*njORNM%osT2*# z`R9)Z_L(Qt#}WHiZ<}3R_W2zB(jL*d^7-_ked2=I%a40kl|T4_xsgvQtEXq-yM4g~ zl_<#M@;mH!P$GTyK=zgQ(RnKzd4hxySL)J^Kq7n z0f&D9G&PCD+G){v*EDye%LdER@7%20^N+_^#%JPXh6j+PHBTQ4#7SRphQe=dK_=N~6UC?H8|y)ku&I*d$cN z{2WuAL4uh#yY_HVhnV&c!YHTtWpTCEfz?}Edv*@k^MyR&Zl828GK6qp&ZExPB2g9H zTV_}7Sr&6RkPhMUFdTwN+a$5IRao<-CuT$Y_g|JO61A_q8QA;}#6apE#f#KMK+3Jg z=s9BFyb{`X-f!6)Mv+$-m2_%|YbswNPLb&*BguR8lZbt%pu0lZMvK>&{H$b0`}jHB z4=^Bn_XZWH4$_G12UyN{=?S)&4hME`C1S7L6G4*%9Cid&1)8g|aYJVhE027-+*}4G zXB!S7f{14^4IjO_JvQJ>s1cszU!=aAV8!OWhi6HstMho$F)W^+P1nG0KLIx7e{J#IfnjHBQfW7ef2{|3b^oNKP34^pSu= z$=H4NCP%!$NIEs;mp+5K@wjwV4dZOsp#yhWy6#`7G5JN(0RIr)sd5tg(uc7Ou`^A& z|ID0vSjf@kk>s8n!aQsaK*hC_sS|}4EKw5?i*o}!{I8d!b;*tqrd*2{gdA^^S%In zEsgC3u5D_6M3Vsrq+n=23%K^9Zej?fRVJxNnqz2A>zIbHGV_r&+bAF{FDc=Hfi6vaDg%;JRkwyo*+*^@HDMU!$ zTs+pa^Q?Lf!?efQOC{@~=*%lc& zbkDa#UF7N}A0imKrDq5fN(7(#(+jDz%pg@#hrbCTIS~BpMYe@5S8Q~=$2EFo zrRa1^0qZG>3IQ;W+w4>_dhcvdcvnebpJ@&nKIaMFAb7CrGS8j29DTF!8h&VAx8yw2Gxo&Y7b?`WZ5GNAy zh*nzSg-rg{5t3w_&f`vbeCPT?uw7m7Lg(58Msm#3G2Vge+MSI+_xaWD2OM(9v8dlg zb*d8Rl%9orl?*H8EI0`(;ke;d0L6vU1&7^&YA^Nq-sF zdeP1@@YN9YX^=a=!a;+KauU|h9&g!~KjD3T)GBEr+HttVN2iR?BG>*h^zdLEEs3?J zdl(sN;9Gqnd}wr1Z*4F)yfM!MP1z-#aKOK-N9c6wAOUKF@-6K~?7ey1%zFnoQnuI5 z)DH;X^f*@`WYTnodgA38RtzrWK$}1m-%do)c5FInqfz$u7M9$GiSf$_s|hy z#6AkmkbVGjx?FBkJbU8y@j49bja%%;W~e>Kz9_l&?qzE*PmbMZs*ehEIRwG1%%_%D zYnV6r{V$kNKgn>LUe?K01=PJom^bk(n}tq^E`>y&U04v773S-27wT?=foRp?bZ`h( zi89t!>-08b{Mm-j$cI+bXG1& z#SkKCquEJu<<)2+P<0wTDBvO;b?Gj3&HYFj?`y@Fedj(M#kIyUKuyko(j3C6bV^Sl zBFE({rsSn46LLvn4p?As$2V_zDXqMHEId9r>s3K5bn+twj6%xpsD%{Zinbg@^%MgL zH~Mla6MZYM1dW1f{|;Kg?|#dVNHQYqS4oP^J6B1uN-1g1i81Wa&`&wY2%t;MCf9V; zCY(!}DP|dM;~#tjd{J(VQG&tVMjayjXrpwm-*4HqW#6$fW@x06YA2zjy)bpk)7qu_ zR6_oTq+jKXfX{qt#)gh^fW^u?u0I_ha>Y$CY?n_QfSI4&n&J@`;U-;&O^@D4#j1S=dgS7AeogB3486(i=*@ULS|#Vp$Qq4T<=7K@pa z92u%~oNep~FP+pzBpk9ZpT^iPQD8p$HeRe!uk^bnrx@L3nOnrXD@&6W7muJK%U~eb z1fkTO+b~490Nzojt}cpUU5C1M4&ViQ_Bbl@Vx3b98|>(daYQWh%6%k^fB`-|Z#bl& zVv&1>Uko@go8YKe=CY{T`bn5&=92Cri++~uJVNsVhK6t7sQP{AfTW-85KO|BAQ0|l zP1}^l;Vxk3ovQ`?W^NhwU*=-5o#>iymcwVo-DS3?`WW z!hEW=7lAa!NG|P5b*nFRx{4T4C)LCm1dg!ior8JVGl_wN`8#tVjosRGbmd1kIZb7m zbG~=@Va4RD7z;skM z1q`#`Hw2RP=ZUUq7tX0sa#!}1Is_^&l2}8#zc_A`ddcSQK5r+keOHCV&rY`NS3c(_ ztO^>&qI2>nTV6q~E`KLNFz_d<%-m6z)y1pxsKh@j8L-V9cMt7&MJunr`#_(*lTG=R z!hLvlKS1ON1tZJ>%;FManZ#8`K5ubEs>O0`I6j=%#g;hl3Z~$dhJQk0fl% zsUMh#*^O6(4;jGOBf+5x_z@l%>p``sq9!+S6=EL8`Co-%%^enzL9z%Rg4>ltS!FhU zspTRP&WS+MGEylGxEh8rbNDLieBBuR#(|YkAv+X#c56uFmvmNnN-yi04)45OD9g$!7j)*r{yT+p(8NODZ#z%k{m8E3d zToxXrer#_r8gjIa((P?=z7ic=$B3}9qSGUl7E$3oKC@Rd{K!+}M`-FuRvqA=&XW$W z(M;u6nFD#4wMHV<3jaA)?KP^!G2~=N5!ir=S|dL*!&ybVw1UHKHxhr8<}o;yL*>WfgJlrLmMIwTY(d?t~IPo!`qQ{2N6 zd^)9Rr}K&3cN=7%{*Y-^hVnmh@vfhNxXKFmb1&d4g&Ot#`+;4$9N4=z&3^Q5)Bf`3 zb&?@@s77-ifgpxar)zGLWP56%!09H$Y0*@5RM~qfRW1z!XtH~tUwTrt%xII08q&=* z74K(sdEzKU3xV(EWv1sR4;U>tM-X=(j(kNWO`(p6Sk(;Cj^;SMIRC3_dpPW25=omu zoe?S>VN$>E1y>_sUb?!>1nDpU#dP|l-32a>&mlnlE&csB%587CNBts{yPXP=( zXrWeCvSBQ-aolHIb|3Mu99R6qI)uND(=3nfa@8Ex-Jua5=f1&scJ8$SB4$ zl6;SYBVNzfAMSQ7$0Y(ahtn<%|&+Zo=V)CM|T@< z;zal8ap4=LLRBtbx^#b}&A!|YrhQr$)<%lY=F1n8yGyh}QjKiN>5?8kbn0oRZNK__ z^pDc{7YB=rcGauDdGP-NnTziZyq$~=piZGAcJWH9zSsTX<)a9Mv($b^5eh_|Znkh+ z;Llca10B zc_Ax`KV7~g_sEN62q7-e$19~59i(xf`gpZN*I_vwU>xjTTQiO@C!54j;Y_*Y(Mat^Yn}y~m?Xt%*hzjkM@9m-r(NYCa`_2+66v%M zR65$LeC`++<>Xm#AFx()D?1fVoqwTmP%6jXdFx70EoM1qi(hbI&17`?OgMPvbUnMj z*(~n-S^7e+j4OVmxp175{byAaWuu}AV-6_F^;hRENOiT}-HoqKw2$=PF4&dS4(&Yq z#46gWe}exoi2{9jt}0)j7`?NrPF@|pBp>IQlxP3?C52#R4jKMN7SlFfx0>X^C*OQ#uKX4X!LYcqY9GUwM{Lc^QE`O@U~TEW$&nx zkO9venX@1#LU{ma-whLDX9=XdcAb*6lAc;jM8I=NzWI2mP!1m?mK9DGIjJvBYLiCm zPJrps>s!RA#w2hm{4joF8(ZY3_)%8Vof|ymU1olv-)Si_KT)LO$?CqOn$=jy(&(du z9fW+X|CCCcZjTD5WE#EPdWFjf(=lhzNgT3X$=@tFc7lW9PBH`MEUxM;RJe+*H8OGs zvQM2lVk0LmMPMHd9ZE_fd>Ngx$nS~+lhm(yn&v^m-+;5Ol2!3sDzh^%DR(>A5wIv1-f>pNyIU1B0V z9@r|2V&KV5N>AXfbALsrwnLcoYLXRQ{XBsT6WZmcGmGx82KLrneosPe<--pQ+RuKB z-wzpN-v%DY(rot8`*pJZttHebV7kge-{q71xM@~Sj_d-(yeP_$S}=yW{G(5xNAvRS zw{eWz^b=}zn!+kjr>sLV2VXuFx4SF+Bpenft+{9pap@R~z!#eKcmLbZQ>ym`P`QV= zap;jtJYKpiG`r!Po&a=r4Ku+O!bP+qRuPZV#8KOZ`Oy%Ww3$ltt~&%n;Yy>An}BoI zCAF`GdLY-9HJFLtMY1Trt~)Y*Bq*1QoJ`2PDxJ3JnOPg>m#en7w^8fjfgD)-Q=DIt z>c{9H>ya)Gp9F(02P>Thoe=IStKDePDy6wbhNe%0M2KMZKUwF_*s znPOdb_rR0jS21dj$A>RenuE4$Ebs6O$C$mR_<26(x<>yw8X$cOqfFSs;=|>JB$t&6 z6|&9>_P1ZB>p5&}7q0~U96=sp<`*LE`SXGMxq;Vi)$P=%eg}hX&g}mC$~8@9b=q`XSrSM@cD_@RLTyo|0M5YEQUhQ(lyBAlYFAsbiG7xd{fs^xa zo_$8Nl26^u`y{Xq%Y0b0EA&vUSJ|qIcvlx?`v6~`f8krOxwMaDAlS9nb%5eKec^l4 zw?e_~JSv7l>hUpt?RpMRiT7C76a)D>T{_1nfgG`%`?6!84iJK&MPcJd5;i#1Zzl$y zx0_e4aQ*?m;fE?UJQ%acx;{cByKyRqj1vzBmgo10!kciB{Y~<6?HrVzcKsa+EWF^Lw_svSA0T zA!YdN^IIU>)BI#OKS$BaN%3c={b>IvA$Zu;27d`;--I^VILcBSX`Fc1lb%KV?#XB5 zyWL#(?#l3A?HJN`hCHQk6}hB*i5$U++FLb_I>nv=}lp} z3m*6CzI+TpO3c5&kXUdpdiPI<8GQW1K%cfVEm3K{aMgP_N2BaaG{;W^@)L@zM`@ku z$MK_lF;1FRMSKCOFNar2Kg^~O+zh9;C-_yK40;L{ed2Dg>wgk_hO?ebBCK;(vH-)3POz4O`OqCF3>4dH**;_!=l)vcbpU%z>*SMz*t)gAJE`~3f{QX@IYdeBY6sk;Av{xj^e_da`{c_?#` zG=+uu53(Y`!8}((xay%&b>^I*al*#BGq4K5k#J33w5Rope&_C5`4xizL1735t`Q{B zoKsFnjednovL_*p2q_3*`bpyw!j}8^vR3n4;uDAXxJG}MbAzd4qrmo{>=3`egLD9E ze(0vHFn+6$g_4Im-+_gm2K7UGyo?aQ;ybxVXuga0u2&%=HpN}f8SpG2`4xp;g52Yh zVs=7eoWo1u_%P5R_5i)_of*qwnEd0io4_j&GC_Yi2sK{;=5t?kvU4A*X4k+KVTWm| z-F}MCJw_;nG4tJbR@g zs6B8S3MD={k|78p3$Ahgt#AHhmA{S)Rtf9kO$!VvP05X)iW2NC=uK4TPaMP3m%s{7 z-3vR`!i%svC!tSK8?;tVQscYtYiW%EB6g&ry6ORPNW4P ztQ)%HE=kyH3IJc~DqNYR>H8E&@45sbK|>zUuqm3EniCa%Fx>W=fe}uj1~US9`Ywbd zQY2j9FCKj&3mY`#xCy#2eJ3q|jL>1v9Sj(O;?ai@_$Q}49^nvLhv9EK!p=+Z?Q**I z4wvRIVXl!n6Wp8@R;q2kB`*wy!TD`Nsb(XzH zOB@uG_$Z!oN;W#(;2xad1NqWq>%teG>2kEJm&9^w^TSY2>H6G@tTQ|Y9#IoWq!0v@ zzYDr?Ellu(aH&B{fLwT!e=a{d3r5FyB#^d3PM}2HE3BtmuQS@qZd6Xu8K(gC`h_xm z?B9HxW-sPj-dN>Sf9{Nl@*W2O_C<`(R9N=G8wEpRrnfg?oeRy#anUK6Jp=+gMdO*r zH;qt6X|Xm!O3Njh8JH-Gb0~WB(+J87k5|7F_g?Lqe~Zi>4EfyEVC~5S2$V+!NhM}r zMwy@0gad>EupX1o`_-3 zAHibmzGdJjLVw3ScV-v4u6t^Fg29zBMkuGVQLY@G;y(ESHbhNxf?|#R7}MPPHN<+H ziHVWY09Eezc%w8iHPzc`jg}VHmN^xN8E(HN<$)K^N?`e1g~{r9t{X(W5) zm9wmk+bC^ptX8JR8|Bi#BvS~>D2yHRATIEBFvDvQJOt8h^O~2~5a_X7_uRv&`wYIU zu*KtJ&-Aj#xh~^B`{qhEPJbju^W>tm=I|nNd?daH8+3fN#qjba=c)J8jxr5h0 zoCLR(m${mq^@jJJu4kv%kmr%6tLttTXCW$67fb9(QXOqcB?1FdJWQ@IeS0J!o|;^d zi$Htc0kt0~c@+AU{P6T&eC_P^WlcxqHg4E06etIjgwg=HL!Mx`OSyuXyQR{-2v@k~ zo`L=zx58QkCz!m9PaeT;t4>*23%1xQ1VFb zd_=T7KqRR>fe3&_7VO3?(lM9;`Pq`g#^pBb;GM}fSO<4#ajAFP={q=ybThl>-Unm2 z`oyW*S>3#pow)5zws?CB)v4^z?DgKs(|2(vNTe$Z?0hH4$z9+%pRg5X7j_VwJ_V0S&nQ}8yy+W8Irb}g^VVWe zf7TeeN%IHE&wNX94WEMcEy+`eDNJ~zKnbUu@&b92FtC|qZKx@djW>_nKVb6kT!Txq z=ja37MQP871WmUFlgS;f$r~FP zg*1aHG`qN`J$v!mg~~cZ*iGhnwpNz2E9Wj{^Q^OLvF`4`)G$p&RyfeTU|T!~ z5jum{CpafHz5!i8_j|8Bl1xk~L?k)M@q%Z2Vgw`s;5Wg@;@ci@ZmyXBkj@9}&rqh?^{K zd7x&be4L6=*_C3ENAmD{ygS=rP11J%KV1nzQTk-3|&E0}8#xq8) zJjV6A4@1a7emLg#U56Cb_+%cnik;vchMXO>GqA(eV&{mMlcsLN7N2?Mu`Y2o^b4=N zkgaEzxWIHJTbey%uRZ(Z74DlHqmQ(Hq_=$YmC_gf{h930;6k?j!tsMbYo&D&~)3n*lj(53b_>kKh$RA4PZu4Sx{Zs#j$v*b+ z^p@##v*wP$0dWt*+Z(?eWXL#C-Hv;gZPAk)#Bu+9Of++&`H+u>jyZ37txGg=G!R z-XxcZ&SnQ`Cp(p@GX>K4}9H> z!Y|$d(?$x-p&VkWvxSz2;T`EE4*C`U0U#k_$oO+L!86Y@d3n>Ogy(>BILC4tN%GFm z2k&Ael8uB$TZ)5JPPVK_Uo-d!d$b1$@RLyL%4c<{TlIPc8Bix(sSfz>ge8!IE>`s=KG&sa# ze3TX51leGt-RRgdL-7vBdv6M4#H7$3p@1}xv~vZ(4p#==DBqnm8^>GCjpa&jV5eHz zUgkQyCMOr6P^D3p5#8PEPVL({xAtPGa{Uvvdyj9y3byuBGeln`QYLYX9PP7kk9&Cf zz*9_i!{k1?t2ls`H=!ywI;I^3*y>gZIIp;awbq5Tyzd_sqgm{P(kDk83uss{ZrZ^+5KCwSL z_2zF}KEVBHH?Exr6RtgcMt{ zf0s$>x7^K1LO8sVf%Z^rQzwJHV0Tt&K%(`TUKfGHYf(!S2b|JTg$3rhfdcfZ(c5S&gYN? zzlUZaM}7xZB9qeBT@zpZ4BtgIp#?4Bl*b|5aBV%?zw;F9HCwT)O`jO_%7ge~;H9X6 zOadd2NF)t|&WuppZaz-pv&}`UVMXa%6Iv930unhO#E>&Q`ZJvm@ivTme7Gsjl#DV=ed+ zqp4A1%4b%0fa~VQN~6PB$O>`f4-et*HSwJ^0cWwmB?V5O299qn7?ADjX${cm<(jEYP88*^^=Sk1Qx_YP^O2t-n;#UtisX;SJ4)woPi=( zAd@1c5mx{5#=K$-qJqYpNeUx@M{J8HfhJiO|k8@DRI1{}dn%+YQ zuU)#BRj*zg8fCBWjq8`P#kuP=u{J^46};M0RmW$%0QocFuxbIm01$%hBz_NOhkzA1dlns&Gn_I|h=1~0tx^h{78!uU{Hi0|7^f6+W6d}`0~NE3Y*6AlE6)FsM%D>GTkRp1O9G)8DL@;%A{>*nc6 zM%M;o&4tp)`I5C5A~(5yrh` zCs?@IW! zjhxa-&cTJk!Qgw0&?ATRPf5iqCm<<^?uqGvd?0~ad>Ef!Zgv$kc^jm!JStO?h7={@ z6-t;=*D0KNP`^fI1^T$>D5aDlkYR&>Jat~Ck#Yb({zz|ijV;$q>RCICjIm{cCe?N3 zQH;tMK{V$&G}Txy*kwz0=j5qVwH*!!zjFR;6kKED6U+nM#`)JH*~DbFJ%1ys$BoL? zgeYZXpJ?=r$R!6A@Q})y6LI+1?s)<;9u%3!(>wB47<&j!WTrqa&AYfn)AW9a7+QDnZ%nWXB2O!E*aVY9zYsKSjNhp> zlD- z0rDW|6`pYYnJ(XA6v`Zy0_B8f!Z+o(h(xReD95V^4-lf5|6iIn4jh;g204-6eCC4) zg-4)3Gzbu{gi?yL_nDZMT#`&jiX@N#CE>$gL;;i-D#}SH(_7CXVdFeGT`j~nJvljbHhL;w? z7I_o!iKw5ow0%YzXxUD6BrCgqkN(rZ=nBXBGTH@kQ6qZbM|qV#kFW(tMBnj9SoU$+ z>nq-hwc%kaLIv1z(6?C$(hjSZ$zcP z=sP+>5k5~crK|9Xf(eK$A%x0;s4Z_AQ?wPE#{QGf^o3|Fqv;tx%C*K9^eu_mHaqgRhd+`}G5HaHJ zKKkDYcl^UIPeZ!)z`VLt%dS`7oDH0Oh?|@zqc(3h7uXT~sjR&E#cU>;aw)~&NDeDC z%XANi2(Sl*Ts8{ai!cS%30|OqSDXh3UMhHmu$<`AuiFkjDo~yw;-1sh(rymg*A+D54331OQNT6K}y}>D|pMT|0R++h7WtWyu|fW=THzVpZW|N6i4>Ndx@(po1X^#m4UfDLgOIKK>FgbmQOrdm;m(U(fI1$B!?2AL+k_&S% zF@gk&Nyy->!-0Wij&#Bw)(fu?O0%z*&8~6UX!bxhaO9mVKN@8qfnBlV2Yc1&L#6ri z%y%G^rw@7fNuC(5)Lc&A!Bc<~qzHB(_FsQLbvv_E9JnQcN`t0n5CHQ-ZZ6cvMLQ46MO(Seg z(H{&B`@o|p^n-ONRGGs`>>p{xp^J&#IUHbhf8cNZ+|OlMS_{x- zB`_vdFK9^#B%m^3laqZ~gc)MuZh)C`_f7=X1XKo6ZAHAm-bWr6CN11_0F)){%t>9o zPWzXoEDzk{{WzpKd^9`u;Co29N~TV=4;(qNzPdC!vbuDo`s}AZk*zQfF+Mq()furV z^*R++w^XiP8OhGf*MaCpKomoPRp`)7#$yQ)g)iA-hKy+V(Qt`FW1ABGLfL;@`&7&FtJ4Kb_4S zoX%D*oz0dZdXgs6Aj5dQg&Wz$Cz{z9_nh?DH`H2R&U%MOv(m^+hk1~lN^P7ILk8NV zGHdxuE(M7e0yMD96r!S>=hPKwBB@HdRB3ceweePUaD2N|skO^h2UN`%>6dy8Bw}}D zxJ*B-vVW&q8!1gyxWJ=VuMcz?ZfA;%J($29b{p_b7R*gC!`r+%xV>`KF>`=e80PRy z9C|HK<(>MPgi^&}Tx#SXJDf&39DLt%aj4D4{Lm8yEW3qFGoLopZH!EG%ay7}RUabllzaCw%Tv+ZMC}PT8~|x z#37NAmtL34JM8Gln=)`tet>G2C?^7MJ|!zBEeg;e$gm0oh~d}ju-|LwAB~fozjWqLxB?06g`i$dmOlxs`b-0aot@fV-Z#yRh8;9I|Mec}z@aMp znbB@C-l~QqRUtPaIRDTCZBF?wmC-DFXQEo7<%qkJdnr!187J{a$;e6*F}P0z-62XU zQ(o!Dy&3KIaU@-P;LIog^<7W=*8hH>O>-4h(N8Rb*YZr5=uildg%g>Sop{rGJ9j?# z-518EkKY_^p$6tq(Gsz=LK#WdxF}A&GdMKd&hZz8yaoS6G4062m?p}BOv1+%qR$-oqFs*XE+OCzl>qFh`#eweH<)O7JPfV0H&y~5VDO=|( z4V&NlSTf}%(nNePFtQn&AEF*sv8k~;db~2nJtu3i5H=4OY)drSkMqcU%-#Rsg}=)w z_(sPBr+lYhZD=YRKlVu0xpW22xi!)ta*4!3uu?n_3+RhC*jLT!)rkWf%SjbB&M-@z z2^2SZw8$BoGjakw$uupo2s}NE8s0d-drO@ccRvodmgp;Q}KL9RU~-%^`;L{g$h*~f!q(4Z*)l~6+Pi|unM3qUBR$s3LZVH(uW{3#Z3+tEap2UpLm&^ zlbbZ?cl%xUHy``Uf8!~7|JG_-moJ@r!`u&LyKAsOmiM&40nwkdobHszRF^?bButb;il-jz`F+H%Evh;?Djhted6E~q6k=tmN4%R z(1fJxhi9saOiuTt5N40@?4yN`n8SO+${3!4NZ^fVJlVuM!Ham|7|k3!3yDIJM%vRS z?%#pg9j@Q&m8-Q*xjNV_x#)<+Vw8lDW+a28^lB5d;SO*ZK(z@R`b=N_YZ?APM(?NNm21V_@F?1f6Ir2q9T6c4k{IO_ViG zccJW#HkgH5z!A8R6TC3TIr~+P+geF82!*;i=S(4zRAG zN8^WCF4_<&vM~VepNt5ENLBmM6AV=`T;i}=6%BSGYffy7%guv~33Jduj}tsn(G=<9hY}}R0t4(p zOZbMJZ|^=_UYZ|#`U{`EePVKw5sK;t6;X9)sKHn@?MKhNvh0%cgqJ2wfJ)Y22c+eu zpxQwE2xC}zJ36q&MxHa=|ISig?t&Sh;};_ucv>^oSYl9S3q)E08UTHWr8&VXe*@F} z&C`VDTsg!bP^ABY&?N|Dl%-#^_pG@9k_0xYVZs?KgcDYhrAR762k=gXvZlfWBm}a& z(>VI>mk0Mhe70O2+OCj+v@g5N?t)PSHDC%Xe!@0gj(MOn!-;6YN}W)M5c=@sn@$`x zNW``|im-#FxUgHTObxETxPdy!CvNazGEf(6dOa%tsY{Mg3#qw zZ)>YXfk!b72L}fS;)tCttIr%aX0#w^kw};wzl3Ty2k|gD!kQ792#0cf;ql)uUwrwQ zQ-_c4XJ^|T*@F+iy-nwk7j(<3rRVKhM4ByDuK8`3F z-@X=6Tv#RE(c=V$L1m@mr1M+^TW7V~AY_9hu9UtAlSJ@g&p%3JN)KgHrUxb_6+!sY zfiD3^7~rIiQ1U=X_hJ}A6+Wg1z1l?yR$2Q1q{RIRG=r)GqpZ~)^T@NPO-uzNo>9Z0 zdC>zE4nRa&AmUz*97>RLx>Do_MmXXW^k9`%(&j;^pi!9E^+VYLe?LOfbrTPNAZ}8; zv;v4nL<~0xCmj^=_>rv4nmUF_IS-9ty(+C4y9GU$b40%8u>_^@rB@^qE&(`UVj_n3 zr%8cn)G&pX55+}5@v(VEIIaGIQ@I15$>0G|{Q!OUJb@yaL|=koaE%=C?4!xy!R)j|L4P&2e?5 zq&UI+_-VId=};ZIrE|MEUYeTN#|a%<_15-Uwz@QzjXH6Is9453rfZH`UzmX9nY2gl z6k;p^xCj4oCh8N`VUYl_%nh zNxe!)&@Aavfn(alk$DDAF+)(rPj*2a0qm*sf)ln32}hBZ{Iyeq=#pLH1=^7??m9@T=o*zMBw#H{cB_Nh zS=t#>sto0cekfQ0h3Lc`Wzk9%1k7IINaJEYW+?9laZu1JepE54qIc*V#hryFc;dUL2 zw2POm{w21KZkL#0MU=MLvmFAZe5YiCJ>XlABIx)*N{ow;z8HldQAA61^n-|kTQqS3 z9R&`fUcEoFoeh(Iyb>J z+z`Fg3{`;jw}!CVGs#rrii3*56S+3yY*@o7+@nk@(zd9RHt9(OL?elK&=ctf4tfSD z(j=1={ye`(v@i*2-E%h)ASWU-a1Cd}W7RFbBb@}7ahFK6--MH*fFJKYcJoUFq8Vq7 z<_U^PiV%q;JV2swODU2M1%e56AP}a*?Yx}|&cMU$}6-;z{l4hN@I+3oCGj z3f~2zQi9@NP=+-H1h!!lV@7)%SXbF*h3Gb0Jm*T&9MV0gX|nV0aS=)e(-*(QpD zm1N6J9pGMHY$7jZU>+_ar06~^Tr>p_T-tEu8du%1PKdc1)(6pi=Q=)yhU=nU7o7(|I`ebT+3s@XYA^JcO)x5S8De%ere<_?w zo&fSqS29$G94jNmL0*x1u(D6Pt|1GcBO4<51SpUe%N(U7GltiVOQhI{wauIkXO>go z_j5Mj36{Mg3TYZd*o#6Ek06keoQS3^sr-eEnsP+&;ZFyDuQq?sO~e#b*S#($Ad1K~BIBTqK@eSgk>Ggp82XAc+qBy04c0WO|#Q-8#Wox$(S65aDbHHiNdQS7*E27y8$CD zl-%R>S#h0{qA(Fg5)FhgoT&1RE4JJ(;`0q2zw;ZbGg+3O3xJSBA_g`L@uG&Z4<{TE z5DpK?pcV?C`pAU{;zt;fiC99?7}jVQ4tU9~uFWvB+G0b~29t;u`ZV}Wh#(HIkw{u; z8j*C&g;oIe;pbT>w#jX~6N5~xGs@%$S6|oymp~yBHrZXih*(0-SJ)#Du_6F|msmM? z;hE2HQI7j*Nrz3@o7;;mLN@$B|2-!mq;3S^(IPd@-5Q$k#JO@!aF+K;McYGP~Z`!n0Xb5 zA`@}62c1e*Sg5T1fl27zw55@`?LkPHvT}1l83pPif zB7TIIH5ebeve4LfB5PLG8EJhv;cK7>Xk3vhmTHTUPP_v)U!F)Y*PO`)4!xDlBfrba zjYZU5i~_3wz$429zQm z_*vUvLWA(gIhg}6pbRXrPAXN@5jF)&xa1K`Dn}DK{qi%pr1_xH)-w>uVdfm{PMMP% zLh^4oq&B)jo~;?4il%>lRhU#AW8Hb(#cXVQHAbZGqxdPD5jrI+kYJ!$51T;P$q2cdED1WGfF2)gw$F6J0HUW&6Ou`#%QaGG-3DsOg3q99a47iN)*eo66-p0e+KYy94 zt_z%VpnTi>U>OPPbx4g|vb0v9f($A$1epMPXj14v3lpRV79yz-Mi%=~*s*F4)em<; zB{v~x^%u2FKnx*=S3<`GK*9h+JPjL0{Bo<+LSZ*t?%aPReAB1CG9gIHanwJ)#WYVs zkT{3Jg=;W2d72i#yc!p7p1g2U@^;`<&{XmOiF}eopd>u zRRnv;Px9%<15f#qPpWFuh!CkcDK#^AJ?kv7=!+xmQ{O>90~HAu?}!-S_qyn+Dflg~ z<%{1YW9=c;E*pt$o49$auf!TlVhpPi=@hlio>XLw`<9C~wy`ACQeR_w{sLA48gRl> zfRRq}4>uo?kniw}&)s0y(*K^gq!Vr~^C)H4W};zI->!&kzXm)}HFxFdD#1)GH=;V=g$icW=50m}izyP%p{ z#@dEgaNGJ77Fx;&d|?E2e&=DZnuO4NlST@g9QHg@c<~S14eO{|!Y>?ysA%G0gQ#-e z1!SVOpWZG&`~w#N2ot*_ckvPUkn4JgKh!Asxe}3nAYHI8P{;`gKOk9DB{co;U*=jr z5;~7hm_%wc0D}2qNB0_2z|)QqfFoBuMzjh5d1iz^(h%;EC};=&lTYsdC9eP<2uZPN zy*fP{;{*&lXQZt(_@{~RK~(xK;`$XC5@8bHywO1%z6p>RCk*=~NwLBsf?G0a5r2RP zkaWWCw>*t-U}HjRvZeqNAMl8`>-<%oB@TpcZZWys*vU>DPs_mr9+izOlLsMifJ#u2JdFu4$h z!sHNgvB57n{P41Wmp9x5ANp6v;1`$ZEG=}#dpm~ghvmeQ= zxL7q0V6Xz)h(b!@B+xHwbe0iC;5`W$KEWao{wA2h8MuHK5fglzJO-4qI=&5IuQ(6U zN1?zkKm=aYI0!7u_8_#{jE zVx0{aud0D&)EO`6BnW}AJ6c3g1V54rJOVkLBPdV6 z3pb4K-2ln0uPA=@0Y&qQxPgC)555#_7JYq%O%M`HaR*kv*viupMwB4LEoxA*nwXXd zNDoh0q;94tehCu1a~ce%kwrY^2F5%p7!%>c(Rj9oYz{bu z5D94#)!do_<#-nU`8$P*#3PvbgPXnqC(=>SaKj$Ng_mi>e?8>v|F`!f>yo_u5$tm$5JV6V*!OB$unh?xjuH=b2gKLx#(O*K++5;4_mNM>u zL9%)k_>#BOV**oIm6J+^a1s()%G6wZ;aPA_YEfQmv}Eui3qs4}DzeEB$?3x^0)FXR zV!x~b7Aac$lXNt$%qrbvJ#W3zx{z1LFBC9^6$irF%u`|Hnf9pFb5RUpEldFI#-pH; zNFt|vGCy-&1 zo63-euZ=E1`^>>0;%Pixoeg{3$HjJw9S&lKuN8p0TdLu_P%5Z)2@M2NT%QGNQUHO< zkwk~M%~JC$ZB!Yx^l&wl=a?Ha&uGA9YWtkDBQq!{WB z59qC&edUG2b91v(4%I`q%^idKE7xnaPKC)Xn!)8ZOWK*NF15B>r6woTH`(e5q3wf* zj%+>nz(Y&*fk7@0OzEYOZ@2TMwQvD3K#GF+T89TxX0HEo=qnn+lXW4)ML0e}k(meV z49?D8A75Kvt(#HsgUjG*Jyzp)tb*AS#!N1`g8+8uP_imT7PB3X_AwGaIy$z=;e2bk z!V3YiqNGAO)ysrnqCtN1?Yb=u)?}1kt201~q1+~1oA(x*Oe_%fSBC7H>)xy!&9>LC zXZzSO5N8fp&xH~ux)_BkfCns&rQs^=%_@79SzXN#Y}AY5mLq6rE1+=qLnH(kuLLYA zD9P7b|GqJC{^JL#(hrU14zq%Ript~9fYIy-TS{M7(a@wYSa8tETY7`5`tdI#m)Ko* ztvr@>r??uNIhk!PXmvuj!Dh94Ca!0vI0nZ43!{~xOf`4l1bwU~Mo--|#YkP=aa%@a&oA zkDYzxr4u-oTvcA9C}gF11S(S@?J$(d=o9HUY0Hu`j`FZ@+2N?uwbhmE^3}`PQ%^lU z$-#9KkG}Pt7wWaawSXSXLlE-RQkGc*#c;M+j9;)d&>?wowI%aqO`$x6r(vmiQG#~k z$uIo=;j`ynn(*|H8XKktIc;%ZV332t2l%eBn!Vn$*=|kUYBfvi>ubHGrKR50>o-aZ z3(M@#oZNok!G{*V@xAZ6#t}$d0vg#~Bo&n4reZ>l;}rzR5!D`{#hWzZTYvJFlP0r; z_ITDBzHPDA*tf{uwQjq)a=5f}Vdq3GjNzHfDD1HY3YSO};bI92 zcl5O<-oen&a@N^;o_dq(m#brHCtGQDXd!QAqZQ6Y@(_X$7~u*;6RNN)d=QhwJNH%C zbyIxs@jp3`K7vb0Y>PGmD*(a`h(@q@j>w6Cup6DE+w2A1WL3ldnK4fA8{%4mxn8|i zEgd>_d-m>ceQ!27HN{~86TO=^7PB*FUMZC}Z)PiVAK|PguIr-;P-#rtB;`k;zBybC@^Lb97#Y=eq#;ua+M?U#T#FN>|r?uSmU;zKyK zsMKpVH%8{?XAf}pZveF9^*V6&X~)7@@oKv~3gi_;70P=i2N2My>FI3dz(MX~d4M}8 zSKSiKv88KM^~pM?ciBXXA#mW@8YInxvQijjAl%J=iLgd8lt}yqsH3FwL&>Eax#i!oWT7EvOb(c|^qLpD>q4}RH zlNsQ*+G)vI;~tMrXPDb^k7woaH!L?MPJf8AXI^NvHb=HMuD*G7<@xvStUX^E;T{c! zT2KTY#P(kj654_{jl#iqq^Soc_sgAvk;x;TGRKNR(zR<6vr~k^E-3*Cr8stUCOddw zvUKS(TNFWLd1)a#_tMjx{4vQsrh7OM{uqZiEM#rYcU`_v&R)2HH1Q|R!n2sQWuQIf zgYJ>EiTCk$-@6+DM_CPg{HTK;;+F#EnVKR6>9nJP@7`}}ON4~tPeBZ3U?mBKH<~04 z>PG*_ZoDC*-%aQmkCZuA6(KMmfEM zW*^I{wj0DF5+ONIfxzMl(PmVblyGjRb!7l3%$s&5g#-8%;UlD&>kM*v{PbymjYAq5 zyj>SbZNsfwJ8Mzvh_hfqk%(L+!z~c%>_!|J83pGtMmCRUr%v6*sVS}AwHxePE0v}; z)>k>3Z<^!bQ5iD~*(SB%E^d?rOlqpBeUh*#Okq-9gGRR0X=LrGH$8Rm_CNQ-Z+z!t zzncf}^>04*%RhR(JlYy-Z_PjA^@Oq4&{Pt$P0$2TtSEvl>O)kS2;9lm7Cu2caDP^v ze)LRr?7knl(mMTt$G+pSXk32wvmYK?Kl2NJlQY!*e!2BrX@pI6sZtVnfpSPrB!*Mc z8`(|b!SNf zy~vew_hi!tnx)q4b(;z-Fr_cZek2*A+$cSHvWSXsNXj?=ii0lt2MO4{?8eJ~yfTgh z;sb_pG=<h){avQ=&tv$^Jm$`&WEs6gWr;~d9I zUWhNh2B*Z>TwNvaYPFjbP8JMZh_?Azn4F%&PAT=Wg)0qnMKNwP6?{w290 zGI`!aM%#;kkBw8k#(}r|%Bz$oDEWpz|3km`k)QjUzfc<7|E8>Yg`8o&G7-fyb>R%gl z$qxdO<0aS9PtFF1{nnb?dFIY4g;1ja34too@LdR^ifynE=l~IsB52A%;K0m~x5CRe zz|Ab%-IT}NX|a(FaF_TH-`h*`C_O8;XB=g*^GG4!;!~tnI{bzHkTJ@;xTt8M{gH+x zyBRJTDj;6INizm$h_AwgD*&{)0)yM2scmeoBqt7tN za$&P+hgFX|j5MyZ9V8S9yET(Q*4MWp4|Nx>nHlb;qz_u>mUCLZ(@Zmzyx;cVp9RjyTM*nfRvoq>)P zrFxZS6!|Lx{Ahx+F>@>wU;6-socGCav=DJunYiXUd1}%-?zpE&P`t_Jz|TqsC<>#4 z5uy~i61F5qxbRluf^Fua?@e}$mn%~CP>rE5r$B* zfht?l!cIABJi?gYtyqze?^ZLKei*|>X&fKn6py}tB$C2K_rhT}Gni1@+igm2Ixn4b z*%q7k8Y7c&H+^?wDLYQE;bGe2)PlBPMc;G1L^<*ROMLmpPk4SOw0yga$E3s+Pk$+P zypv2RZ;bR%%c?lr;6}M=z+@mT=tyNqBtRODOu4U;GNW9g8Pj>g!(Z2IZEcn3=5Az9 zKlS9G0|;(5WaKI-w>XkcxS^@`m$te7PS|wJIj&}=bK7lq_ZlO^HtE`dFFjl0DC{}f z%3t(GgBo(l(_VlYMEjD`q&O%W4iVFLke5Js+~M_dSGd3@!;i4 z7we-QD9xXP2Tmq?3X;YX79DJe6u_my%GUcIe0crH(Gzw&+5p_FRO%ZP3T>KAtQ0`x zB4#2bw?cAST$%udphm8eB;@%WIuzN!w=e=B913s&N_wWI_bom8=sN~DgQ5J=i_bSc z^lQIPg*udNfoqjJ^&B)%VQhN#C=}K?I9r9XCS6;j+1ESJz3cA#H^wG7^P*g74mXC^ zT|o}F#J@wqm#PCr!sh9bx1A|he|WL8c7CjR{zJ!h=AWjaO-8Fhpy!|Mf^KVhaBKC( zogn(Lg6_R+l9BiFRBm08Go>sC~2pGqBel>6uz2goB;l zgnzgNEqoI$g2uydECe)4TI0^}thA|I5y9fi4Ez$4E0G$JDC;4pCYF?ku%Sq%mnMEwD1r;p)eVe)bvr{f zCZfn2CWLzxnv>PRK?Vs%InGYVKy#3TOO}XbEzypfp1pZ_hXQbzg7Mya?rR@8ergr^ zHkd=&u)oCCe~-cGggw=EsTm5>D+8lzjpOfL>vg|=ptCtUh63#i63jf=&)aTCy>+?P zy!q+(zwpGr`_Mga`esHbcFh+)@xhse3m^K<^7hSog$r@hSOIaZx#;SYtHYNK9TN-E z?XMVxs&E0$PJ8vXCnlEm<9wapA24eR=ikQ3cl)9VZwU=iNp8%M!X$V25(xx~MkVdZ`_^YB?WAp~AcsQxd8UaDfNj-VA0TSV2C_L;65f4j~^0CKD-Ldg-Nh zwOm`T4OCL+&%`JML^6;f0Q|IJLCHFWjoMjeRP z6A!&(;>C}De5N$MbNm!Hi6?UqFly*?rd2JuELwq70I{fP z1MOvwT)ptdot-0pg)sjpN2>q&;>Z8_1DoeQ@ShB}t}%O@OA81_S(=9mA=aMLWFBh` zCNa;nG4Zx+qjD@1Y3APj8{ctfwYl)~zdCv0@(mY0CIQXa(I-487hNqfgL#p>7V*1C z%QRGkN#;ZDd;43mnf(XbBf~?@#fABy&5g~(M)w< zfkW~@s7pU1n)%N3+vlw~hXgSrwc5~PNvDuMX$Vj`b^TXln-O%8x8?_yn01M~2cmXM1clI zn!QSMu*2bW*1C3%suU)Jt!LRiI`%()`d9zf(BSZ$|C(|4s~cPM<<{Eyw{D*O`5&mP zJogQQ9z{#ZEhd(HCKJr{&CfpoCO4RFXI(0-_}0tExM}zH-prBXYlm;Y_ex`Ve)#?` zJUzL!zCecC{O}g45#lFhvYj9EH4#5O5Hc(tUcyW~z-MnR#mJ4}hN#sJ9hk_D96#AR ze(Ls(;gPY;{VN;oCm#QNW4*aiR}j2XP9|!%1>^FPWKTG6)0J15X>q`tA|PRvpM(P8 z3tC|=u3L8h$tYTs&?|4O*-S%@;O9!^u3LjU)Fx|_%vFujRI}hG@k;6N(UYstLe0>t zZf$Kg78Y()2^aiTZmlVY7!0V=bQ_(T%m(N?C}^ft=g`VJ?j%A#Vil5-uq<$c(Gm!o zHz*~iA#E_(dTjWihaY7zfW5#XUvi@MyhJSJseSSo2a-oRN|9b*1@8QGm&81}Zzj9f zOS3mFg@UWN3(RMYa=htaV>oNt?_tnIT>nHFN54`EA-3bu1OubLoE>=oC$sEB$WVR!)7^pP z_jD0hGd_?|ik$3P_yiZEzw$q|H=h1W%Zs(y_SR~(yET83vp=T>>F2tHBm_%N5s~f( zg0Jw>Huo@(;3zy*+CmWw$Or#rnjoA!zjNsL>Dl$wxzQ^xf9mMBedArF2M;&1>z6LV z@Cp;32jxRBFs%ne=qY7AblVav&pnc%gkhxX%*95w)+}*UYn|)fyv4VZoqhV_rP+&5 z3{UPqI(G2r159Un_&Eg=;l(`!+Y+guMO>j~i==4jq zsoVb5Bai%`9XM+I#-U&6wbs7Ay)#$y8eGIORyH@w<5^|+_N=$^Y*yJgbAndQg6q#8AZF3u^a1ltUQZnP0v?s-{S+9v#F zcKcyUF*4!o%NMe#{fDaikK6|yrJAf($W(4&`cAeS&&UMI61IWVXYLIeI?N%3KvFv9 z`I9r>`1}Je6uZ{Q8VB#s+FXj$Te=nsPl*Wpgu9EaSI_ z2o|zylDM10!AjECt`gDr>GkFoR$?O6(Y1@0uf~M110mKp@{V>H@KS%;8cSRQ4U#ag zu3o*yP0994$}C%&={@vN-6PTl*bRILO?Ol-3J2a=qx`aULI<#2+UX2+)-KR0<3#!P z^=N^}9eKf%baInZ9kKy!y@Z^>9;N(Akn%GC{ZO3jWx3R zz5`ir$3ffN1ftkwIB~3vM7zUc!|nBzL2jI_w<%q+_C^GWBxpO+<{<}&!q4iygA$o; zn`UP;9hn3bjv47zhV{*EHn+lr-R5@IXfQNNzr3qAvICRl$$#F3mSx(aC&prPN%2eUH^!kWot1-$*m6F{)pu^=h8ONAJKU_Iq zI?lSzQg<RMMMC=m*{^hjNzP{kbTF5yacl9pw?X%&nxyyzHSd$5A|)h736gcr>a z1(y&l7BDs`0OoI8&A#~D?{KqJr?j%cee^V;DWDTy0upJj#Z*J26N+jQxe>F%MBNY> zf-4~8a}J6iq|1kVE8LCew{*qeL~A=@lfG)uZ?egLS&;rCk|dyiX$PwXllfw%dpuSI zPl_Zr)F{wV$*s*w-TC>Y^7GH1Vc^5&k+h13(D@lyD}P=-pt3mHxW`NIVM5VN4Y=2? z-;6lWq6vTBiC?6Si+$TF!hg@9Quf%}X~A)eS8AvhwWo+AG=c%zRezMxwjY`pBgK`W ziH-8W#IM!H@A%nA-uFxYE)v`Gh&J%;Z~Vt+&OGr$|MU8_-&pEa7k@CTE-~HH%z7K= zDGNB41lH^}7E)}v55_SHr2<(>h;VEVN8`*MP{{)Wz|z<#1a4C;R+c}>ZRWgxV)^SbK}+Bf-d14}WKl z1P&-9?dE#cpd?$kzMUPKTIHs!i`1lcvRT{+T_h7Fc9fMe&%1E;nkZnUA!Uj*7eXEO z2peLNY%)Fy4#Oqdl`-y&;znSOz~VlpOWfqu?xZsmgdtP%SAw0A3wYrdfzoFoEb*1Q zNANtYBIxd0-(+$*OX-mv>zf-TmfDQ0uWv9)MXw!Umo{XQ7Z=LXnIt_rLofz&?b_8L z1_K5y`Hvkx(Ht8cZxPNj?ugiB#B7IAM$|eERx8K4KKgw1D_5_u%^?+RCN8ZZ3#37s zWLhGaOM!CbHbm}ch#YZX5jrVJxJe{YlQ%xtb0=bjS5~25U2G0!YxT*s(NRZul%mK> z2(?UbJP(SQp+n)QktHwZB*(*mrAwarv`=(ej?lV{*Th<$xF5X-+$zt$Sk|# zO+Wg|`STz9TWhcU$n%|n8{ggAUirFibG6QpWC*Dxk47Ptb_K&xGCN#qt|+TA5+R%7 zjot9F11IeA4#6i*ug9JpV7T06h3iwUb3Wb1#@25$^m#|MUOU-CYaOYq4|?WWYP!Xu z(I_EuZkZ|yW0Sc_bImlZecHsW3@~aX(}rQpDD|pQs&(%`i@lx%V?tAOY?>k{kr{DN z9p5${%^XjoQi>!Yv0XEQtn94-; zz=^^0TXW54hS!>OCG1U}M4!xC#G-aGpH)QqGrfx!FFEKH zO)JxmA6!xy;;WA&pO{b%yb{W}MR-wj3g4Cov2!=(!Pp`~b0UC|Q!26L0NQ|9vg~yhye(or3_dpNC=U4udq@#KVMcce6cI9%4riw|=1R!Id*)Gk_qJ3E!q+-#+N`i{Fl z*jZct!IdkoytlQs9?haIC%a#nb(f&ZX+MD+b=3i8oB6fP-f*_KyqUekg`4X%J!^DM zCnsvzxBb}%8HwEbg`vUjXSX)CDs1NLHpT|lnbF^(hC@3$ZASNYXu`Ry!Szk_@3&iU z9-Xd1P1a#c0?p-enKk*4Hi*PB#L*b(jE{Z&bhEN=6s||LO<-^1aVI|MW+%-ne{zEXM;BsN6qi;k(Utqt&o5Dn%YFFs|B@nq{Mc6|5C~)BCi6e(r-}2^1 z-uKUc@?U-yIHkY;*kiTz;{yy}Tqbg@vahzkyxd!@j8`VO8xWUPt9NPf=FYycec$oS zbI<@4oQN7q7td*u}`i(GbI~BV2m9uhFRW?tI|R5B%7V{WJ?C zZ@uZ)Kzl*o{m4I==ld7^UApwaq0Yi{1Ks)ST#h)ItzN!Ss_bi&wwmK~VSDYd(ZSv# z0jK!uw41%wh`nbF8~fVRW4-;`lfBik=Mbd(Sn#+chcIvA_XKO6?(B_@zFd0Ko9^s= z{NtP($F*al8z1`49fTv8#;Diq%69gl&t1*_+_ycFz3uJyQu> z^(4(gnsaDoo95mGjl-eQqrI`CM?d_nf8lTcyrEwo=EtwU8jotDyxkZa*ro%l(ss*L zx3VILw82%Dn4M=hlO<(bhUyJoTz_b@wb?8!%q>vOl}atnrXvbmLCm5&aGL`)umYTy z+}2w5LoD1p1V2>;sm5ldP|uFHCIC=2VfFVPG=KYV{*`%@#M;+2c4isIQ*0Fc)S(}C z{)$Rl1+apqfap2m%Gx(pEHL6mY?TUp)G|6`)K+u_?3dQPo{P8d2cx#-#tOD%?ef;=i%=Bdb{>aS-Klt4o7B<|g zHYONZU!W_)>IL|^$}!`u@$7izddF;=yq-lmG zN*&P1DRtO!*Ldgc?J`^f8;iedXGtwkROCHn&QP z3yV)s1AYF;ks}wHP1o1IHs=3Tf&Mtr`n3<-{I;p_-UDa{!_{=OY2{tE(@g{G0_((^ z+^ppMfsH~tzc&|Fxqh;nox8zc0sBbkDlz)UO6aBa?86`Vy~_UmN8j}`Kl5Wh{r%tn z-^bYif1I@ZVbl7iZ+gt8#ZMdl7eDZm-$uXTuU@`#KD+zA``fqOepji_yDtBZ?s-#5(~N4JrUw`Yj3!s#q4@bvjN(X05GeoiiYQ{XO6O?f>AP z{L}vfcF?1Ejm+ytfqv@m{k_I-e)=PS{-tx*4{=J$D*cFYn`JTjQXR-%eECxL(U1HJ z2cR${%udUtl^rJKu4Oe=?#?bzuyxfDC*_#8VVTeB+ScG5%2Izy8_( z@~5&atp)f)Mff8OM9+&6qF%PNxXi&OH?vcx?~3khN-`!1kef6wgY=eMFzfOrCz>mE zMZH3h=9(j@&WG82qPrJ^C3r*^d$=*wo1U8NG3UYnC-ExPTT;*{&>#4j|1BFE9nJ2% z{kCk3rax^`yUH{<+e1AL6=Ik!wk0rV#LC}}$dYf&w=mlu2dR+vHtiDL=4`(OmzsJG z{=p1S{He!%HuS>xaOjUPYrZ1rG+y}?z5n<;e(;BXcyplI{gJ7Clka)(!8iZIshLB~ zN{wBn^}$$AwMk(+H@DoYv36);db(F{j3OQGXYwSaG_k7SIPf_95i`Yx-#y9OVlH7w03+xmnEk)T<4HVfBH)p`#cJuXC|bM zylx6w%-xuLD3Jq;X=Ka3%O8cY^Y70crf$WsZ^M{mjn+e*?eW}%na;Tboia)z@oaIB?!}=e>60FwS0Nq)J7uy3%~jKmwo@tPyN-C8^86D z(&p9%V_=j@^g0KIhq62FyE}X9JKhi_?dKnV1?E2qkBJcCCClxEQX6rM(%|^$+&}wg z|B_jlKUpRTeRQUsq+tg^EUK3Xg$dbFgLpCz!?&b|(0Z^Bhip)Sc(8w(E5k+pAf}o$ z(1T0#{Ee&6EmbC!nB`S2({ZRD#R;9Zo&W(83`}gBnYOi!yNS~Xu4u(rG=oMlha~cS zErAxGPd1(%Xk2MEgyIPgBSkp>q?6XW>C$v8^aB55w0;=LZORh$ngv3FO+US zl@?Mx_0-wY#+JkC?l#+%26K;&gSz!6&M^4qR=cQDygL&SMA(&ji*J|WSd+wLAbYkU z(I2$C*#^b2jm4Y||BYe@>o(Vj9YG?@f>fu2FMB5R(wlOEhc|C0L{FH8-zI1!DZx zg-=Y4QLH&u!(X>Ikp1#+oXI}^Nft)ocJ(GZvY1tMX4c)tu`be(WS(QeCr>^EbN}UQ z&fu>G0dE>qn4(y=OvWH3wqxqJIiqU`CEjVDT;_+>)Vt9Zs4sFq4nNfk6N2Wv8`1_(AmXo6dAPl;%o*2&?%z~-~hvp z!licT`Ewsxx=g{Wu&DTnPSV|(uehA+1d@aIZ`??|A4p5 zq)fJQPbH}t!!T5-*__>#sIOHp{+QxZ1|w-4#1}n$iBj0;0J!mk2^R$qRFp@q+2-eh zD){0=5v!V$LCli1kBY17=8big4?m z6n3dp6EBk$QGQW#N{7mfGk?YM3zZ*t@f0^v45PncaZuS9(<4gq3gVOXwST@Y73j}? z=XXE;b3gY}-^1}U#}^ltCRbLM>x;_^ERt(cF1gyoea%Bm292^wu)WOK=;l~sWV2o$ zS{|DkUVq!$zUj(ikHw7eYtP}Y1_R`e!Z|y6>U3{nY6?lWyPy!}V&yoaL69sCP-9JG z>V;cl)mR3Wq|e$IMX~Rgf?Lo~0aybFF_+N*tAc$s2>yq|G7twmL@G56Q~)Xq(Tx=c zxvSJ9RdC+IwM;6HKMMhAiyecYE7^Po&Z#U3+JXla_Z_?r%Om%p#t z{EL6_vtM@@u zL2UIUg$ijiR$hDqE96@>vWZM$w~X`{oB$qbnbIRsm4_r%8d1^Mje$fz)ecZ5oWqBX zbhth%a8KR@bk@|LwI`)ZzX-T>cn4Phk&oA{0{utQ^Cvo*vzxB@rVrsTlXAs}@)icg zVMNW+9O2@PU3Z0#(*rzt1f@~#3{Wg0j50ffm!&eP4xmC(4s%%y(6{cPdVf01>JvdI6@OAv=nTGU=w0_~FPPK?GoZ zPd++HZR3$Q9+aSRs9cujDvyHen|@N%W;!Kzyl#z`x4&x9g&hNk(BvcWnw@f zMoCj&Ox!S5AJ!wF%e+>iE6`AZV*nzWmegGQxvasVZTDsvmnY6qTM-~=HyRzJFR9%O z`>8YQQZ7fC=+|PIV2+q zV4*4qZU7~`vzf?pkp@ksTgi^12^R`MZ5MhI#Q-RWp;Rb$*u&tc2j#(+rfz>weX({b za1341mGyA$GF6E}x75+sf!XEc>j0VmzoQTWWFMjswHsWI49El}p+ zdA~N>zz7!$gw&Li1<^b>NqkLgf2u&Q3ERJNqR~N7xRL}aDN)uc za4G>Yl^tplkFLfQZou%a7S7Wxcp$m?NL~W>+COh4`(Ar$ z{={RDR$3_6OqxdbMCn6n;$@*r!-r0Ir;gomZ=R{d=M^=JHBVY=W?17UrXb5n5#x#e z;H;3|%4IzwtO99V^X90c34v#!<(}{Y2_9ThNki=57m5v{&TqL5*&%ulAq)~(SBH{$ zJekWW2p#<)qBzy`+M7S^1HJZnu#15*V_o4$084os&aE(Nt?*lths1am5X)SUAZsC| z&wo1KNpW0Y>a4!PXhR8U#TRf`5ok+&1UCRGjknl%jb}0=*70p+Lb=ERIvBRTYB( zbXH!ieG0;_-==f6;p}p)suXqHbXIgXE zB6n1BV}KW$*odr>I3uVpH z*@LYS?h;!)qqpq{94XbklqU&4=O1u|$FV!^+ZmoX*qc1H-D|N)UV*cM;cVmbv7<*A z?BbkoG>=Yt)H_3L>n)XQ+*&r~1wT{(mCtjT$?xAXD!R3oheyWG4Udf8!SxI_y;>>^ z3S48C};?`2a^*Po3WCuB`8f4>c!8kg+@J;K2i`BBh8YlTq8+ zKBZ!%;AmM(Q8}Szy{~*k&n+Y9%LDq!Kl^unILrRvS^!Y{Q}cS^z}f$&y|Z_1GK%7G z+I-bGbZJ4PgWy_`LdhUFR3caeT?#IO{sHdp4${G~ga1GW1wXbdZc^Hz6cpSPhaxzL zAVf{Wo5tstI@Qra()M|wUr9*LeR|Hh=iGbWbGEmqzx6t6c-p(T>3+Rl?eg-(zr@S#nw-p4PGrx{U&0^ZzB+JCUr zy7}}d$G^Gp<{^#u9%D>BoB?$7TXK4*qMXaGR>oFH1(SdTByhw8Ud`UQ U@%~)>dER#U`mNT>Yxf`h0hI5;=Kufz literal 0 HcmV?d00001 diff --git a/draft/boards/audioplus_speakerplus.png b/draft/boards/audioplus_speakerplus.png new file mode 100644 index 0000000000000000000000000000000000000000..695ed3961cd13a87bbc31802dcdab1d7a8bee897 GIT binary patch literal 173667 zcmeFad5~pUdeC=X=F5Gn%G#@|tEYR^)6=6FZ7?GVEFpoA&@#rB2(W}h;gA8tKLpr< zKn@fk3ptp=%Ti3vQfB5+y{H;Te zA;%gRYhbK_u?EH(7;9jxfw2b08W?L}tbwrx#u^xFV61_$2F4l~YhbK_u?EH(7;9jx zfw2b08W?L}tbwrx{#|L{&wtnN{Y&F-1pK?w_L$CC17i)0H89q|SOa4Xj5RRUz*qxg z4U9D~*1%W;V-1WoFxJ4oXAN}zJ<}hPA8X(t4cxl%+|0@G!S&KPnJt4-I-Sl@r!(jd z8hARO7`_kRpH=duo{MfSrck8X^W`q&% zrK>e;i0l7{=ZjXLH(vbbfBgQfPk!&6TQB|x2YVak`1qt8_71rB%gIS8hp;s`nJmYH zPB}qHIP<4;rx$?fmE-<{GBJ5rx|4`Vf@5GNh(A^%C!Nxn?34k4orzAFm>>w8y6}T@ zvSZvtIXXda6LV#Db~SJePQU`ZZg6rG_xfxgS7(ynK{?@1^;Rcu=sx%qZWK2;3I5Jh z@OCmdE)yU#?eS3`UM9=b)GYL-%E|FjIfltd4c+60_5^X=?i{iz!$}zohyzw#bO@a6 zP7_A95`re;_vG-X904Qk$*CE_OnV3b5Cd?W90xAL(F8i}8b#OrGCGJ(pc$|N*U z7c@<8x*BKN&<7Z*leTgr9eJtFj5#JR&@}v2jn$_Ldwirk$lRSRvvW%%Jubb2?b7S- zk+RBS<^#naxCdz7j8xcgHSY^~d2)nKz!k}eI|*5j(a#ih=D6(bZxDEZOcpG0TQ1ZG zx`SEuD=(5EKvt?lc=^{2q(7J<=`>I1#ry(xGOj_HnL0t|6Fg6pshRn}xwyDcE?;@P zT)F<$fA`YkzxPkAUV2|IkbRM#)au*7Uigh4`5U)i`=@_ucm0!PZ+E+FZ=IB04+X&R z3CcdE(D$k58=DhlV|$_;9!*5S4n$GK;Xf)9l3EGW7|$bu_~U^Xlp}0hBB&8jArmGv z&cjGaHO<=?Zvq+=^(it!Z*UP|mA6V$dI>+UAPDaTfASWYjUOn|^6YN}SAPU#pfC+s z6ewGjR@$K3B#WD{L#c+CDnBv>P-R8v0B+c^uvTZmlFw0yaKv((uq8@{3>B_Mg}-8` z@JXTSrqVS&^QRK7`DOS>9}T?-)E^$wQ9=Eq;D#PS(6PqiXk0xCMT&F5r;hJI6$Hi*QY)#r{L!*Xpo(#yp6-tL`LPJx&a^_N5yMH6n5*_iTR?gYE#WK~MDO+3U zj&xaYBOcuIiz@-Yx4TvGHn?ro6-M5Bu~48enq6TQs8sl#nLi86mGEopOkm<^S`BQq zlxt$T%r0Oa(kK`vAL3E$BTSfy$(b_0bOA~hWXvheu1T6SBt<32u^2o?JUmI;3r51U z>Q2v>1=1VVot`Pv=wNzgmW-SZ85Wk#mxbjE(CH!<6_dDW;FM2#3ybGTvqC(0LpL)t zQu3%A-E!vaV`XY~IryENoTfEhj)G{MFlWy{iCz~1b^@AHGjs4V4LtG}(w}=5VDQ#7 z3Xw192P-dRpPH$-)!7VY&(!oBdZ*DuLEY&ku0`;mH*jbc8E@KSb#K0+Uv;UeI&H&7 zytLK#%=|ghVQyi1g??!Cx>R0Em_vifsl{lV)$I%>*o5JPXI=@r&5eCo^SZ zhYTQe!afq=z1uomzWgMuA1%oJ+huL-&2qH213oB`(H4%Cm2&}icz6iRjdDOMc0_lq zJZAjr>QxHJN))iWcit%L>oOAmyyAclIqdl^UVfr1FRzr>U;8{g z)Lk-=vEd;Y;n7~r-cwrkemlDx#2r#NP#|Hm6sRRCi(|LF-Sxm9Ehz=kiodXU4jgCH zeA%M++h@GtxJw-YP|luxyeuK(-rjcE+)zI|wB*&?vBIoUSuS0E7ku3-w{N{vw&^AM z#*;r?#xbksu2uctyBV$c;n88pFYVdc#qzGFz6{xq;Oky_^UcqJ82@qr#slH{N;y z9QHEGHbLQEB(#nT8N<)MVTJ}qTKS! zm~8FMpM3Juzx+f0;sd|?hyDN&Z*#*6^w#q~{x9~{e~FrBfpSdHsyIA22D01CN@YAU zhLg$Vg_CmiJd+G%x@_*#V$xEoKwIpUvncS)ljQ`!g_SuJaLDyqD3SIF;pv{2u2MiQ zM@w~hGF|p>K3`h|Xe`rV`H7?4GQ^wv~(8Y z$*DO^)2GXYEAJ^6FI}Q_TP?r&@qZZ=*h*ArX_wBv3m%`vwCtB#H(n}R+nbRWw%(nI z8DzU&o_zQFDO@{c?cVFq-;Ne^3jIt>&X$>l$I#8?s4z#zlML2hsaZ+-xw-RYcJVqg zUN1{b<{b40n7}WR{s`PO4)f>X=>om_Dm^N_^fcz2!vb(nz4FCwiwMfJBY}wh_qm{o<7J8Ve=+?$- zl1Y?FCmH53-DqeW6VEW=vx97hR7Uf5Vv=5Z`7v;!&kZIZI`oK4fE-bQ?IjnNE&?-O z?%Z3W()VaIr$PrdL<8v0EL<#ei!9MAigZc8uSOWaDOsanhlor5so5gm9eZ+2q7= zx$)B9|CYC~&aS=t_y2fAw0@!jz4_wL{_*Gj&7b&yGa@4OQt|D|GH@nwVgVuMqUId+ zwt;g-MyL66f}MF%F0W$e_s*4_KFm`1>_sdz+j}q3vLDc5%yBCLW^vCQ~6Gi9YF{k{9^<<{+=qm`qu z(V})HH5Z5jpieJx`}WQ9`s=Tfjxi9ne$4QpQ_H{p`)eCio?S(W)~J6-K~bUV0e*IO z_sSg2ct_;QoHUyzsftM4=u~u#b_?%4JJS9|{kpy!Y*jDgqX`qZLP%ot>?6|I;6fvFsaR2;8UA z)q>my|33M3kDlwTw_c3G*6;Vq9KHU4F@!zvE){ZbZ?`;Hzk`L)n26|J8FqFL%I4-K zW42ydU%N$*fNZJ+`Q>ka?|=f|iC$}c{T3#c<7z6Syn}mt8%tzxgPwJx+`9QXPvr_pCA z`&%!U-Sv-?*EFiIW``h;(fOO^WUbtM<)?p$s7F_z+pqo0A2>X?U8b6;!(rv2CfV!Q zvYosB2g~{E-}oa7%a47WmVajb_NV{otDpM&zh~?IC)7qzRXGZ9pfG!wPnZygl$_qd zU6{u1N3a7j=;&ylGEiGb3wf{CD?7A$bJ*}3>uV_ffUrJyO{^14mV4#yooaI3zWH+b z9OEQSjSH8qf|Cs1KcJA@h1Na_U!&EShUP96X(kHs!Tuf@bpw1^e4VD$`=xCFkVva%h3Oyg@(a|n2ObCHb zIbVP6`7omfC*s>=+8NzYsh#ywcXyD@JU-YbEiw!kAIzc0F8OIA_u#?(Fz+mQ+w{E3 zY)gb1;bE`bzWFMX8}!`7Yhn(Hv&HrR;AGOFd)x^VT(h{>!#tyZFs)?*s+rCwbuV!o54Mm3{Q5Y20Ph;FLb93%yx*r&vl!7gOCd7+0VEuJTRa{S#pS z`HU)``_;es4`2TEzxs!d58sF|XAx~2)unlSUwZ%7|G?Lk@}sS!<$rzkv;X`r{>nf9vw!Ju`xQBk%Zjnjy#8U| zH4s~1gr=|{3I&UvC3-nqP21K%6oP#!6>}yiW`MG&K!-c{9aE_!3kn4x#wyHS?e>|} zU~-4L0&0J^4oB?u*RE0R*e9St}A+w6| zD<3^{+|iC`_&Yl4kf6Grb8T#!#%_=GL=9>Sd6yn1@`m57t=oiS z<}(Ji@($3II9(4rJ3mDawpTW|jt;lc-ywN;L@$bL{*ga>J9lYJ@5fL%1UVX3N(F$hEQ-ubf z$^?h4n*~re$rNBM0JRc2*qg%Mnxar>N==bVlT?6do~Ovv8K*0u<|41M&CjCX0n_XQ z#x@Mxk2d608Y&zUiaW`Xk36KUI}Ms;hvr{3Kxjfh4N2BpXrHmcz`*Kc`Hr_ z&K<@84x(p?pQo3kpFwsi**?7<4UawDBs$VmoCS_%4Js^vJ8^)yqnWxe!vKAHsw~b; zlUCZB$k@UBt>fAy!l&SMy3;Ew3lt+notUJVMBi{1bYY_$E;`dz^KF6#H1ZCZ1r}%q zOABSD>_?t-jyK9GYcei`3ci32c98#o#=%NQGl33ke%tHWLzoA3!PUtW%vKeHi5AT8 zTlqGPcnRg2Tf9`B{<81*0WqF-&wS`V|D!+u55D(%9^Ck;(goPY!)Cz7`v8k*XY01|9JSv{ zgi}}r2mpS~Jive@V@RMPYGRcHr~!lr9x_!}gADg>0kxIaui1HZnZYi~HSc;ud(0y{~C zo}-dXz=Ni~6<cDKVmTE+`cAAOzT0I|1!aB z*6jTGpE;eV{fv#f+DI0~D$K4ZtX2*)q;83EM(5(?>&!sihOuhjTgjq;DjEv$ibN#z zFQ$=E?f|~3Vyg0tvvQF?HDB?FBQv&xD@B{($+gO%fQhZ#}FH8!B?H5w->&KwgB2h%&{)>d8cb~a8K zt0{O~mOAr{U6>fyf;M?9eb=%M81uk9`NBAgo^0))PV_k5TwPsdqJ{-(Re+_iyR*-D z)bWyQTFme*E|z`9Xxo^qQ%r&!9I{|ev^xc&*Q5p0Ty^$tl9|&kv!k8DdL=!ci}>^N z^E4Da@Y9GomL10-MS?W*~Wj38qQ0 z(>p4+$+LN;QdiELr(hq%Izhj`Ri>DLz=A0UDDUdk_m#_6pJpcLJ~MJJ19#6+7m9Ui zlNOhSx2xB_gf)`;wls_zm}OKJ3c8Db6Ws-Pyu?)aWd_;%<;EMICC-A%j~O=2RJ;qj z*z%Vyo-d#K?8jm}<^c2r`c9xMFac33$su<8TBKJ`jsd2p<{9LlA&uLowxF?119nn& zC}(62KlxlO@i9f90z7WZ>bs}0Fd=|`-~H}q$^#~BZr^&bOxl=Iu#ZUp#1mh^ zRP^H%M0TOvf0GHF=gJJNGxlcbGebGsU1n0@Ec?oyfVbCyc`aIgN+9WJaAwcMI?0xc`27KxnpCUnaLZJ8FfsvfxeW%HLi|U zNN2me{ON!E7l?XfW!heU?W#4RM;Qyt1T^Ni`Od^r-N+c2>(2yc#)8w%Hqx4N)<7Fi z6CE8sDEDuE?9a8}AM*an&;8{W9^Cm@biq*(BRy#?UkbowVRB|`dBxTLlk(WL_fSY@ zDDadJ;OsVR>E89!`zZ`hQ&_Lt0|JYQK-yZ6=gNDY{mSwbYbq{`E>frm#9LW=474XH z=#O3hK)HD3y{xM|hRu7m?DtrAAkM2yE|ob7LywF*VU6Pa<@aJXFQHguhKIq#d|5g7 zB$`!#ek6Z%%hNh;d>{3^3r7s`3IzRa_(;>KNfg<#Rp z>Mk%QI!jB+Y!g%M)6-NsdJA-HVC zWNo4H`QXcf<@D|hGEW1uw!Y2GC<z4S8jFT?9)3adHIJU=Sc{VGM?Uf^<@UWTWFl{ZHtT_N7&A=S z-(WrG7yivJme0TND!Q2s-Dp})vvi@Z*4DSmtFOIDzG`XI^+q@SE>IR+tJ>Q?DlfnC zYAkIicbJz{DyQ_F)jf>MiIS7@>hnKUe&!$k@xkjae&qMH3-t59{!jk){Wt%0^mrjZ zD^r&bS4qFZ;2WVkz37jc=?z!ji_gS4k3r6j4E&xxcq!ywV zUU;e8Tkp|h*z(QM5-*nB1E!9_zt^8HpZd(pp#-OtmzI{w&cR|7&I9<~+?_8wZ)}vE z=Wdf>)9|oD{7STzdzevsz2(wlLTPvRCWZYj1%mA>$1~B;Y#*Sv9`Gu;celLu`n~em z=UyU?!RYZcy$J>C2wIqTn-5-L-AwvS&r75xDMmoSr@+A1UmVp*_mL&h8rJ zrcY&_Vc~m$cGtYOf)A)z>*bBN?i1gmY_Lln`Er~s?FW0!#0yIwP6|0`)WKYR@l*e> zy!Glwf9{|D*!R#lG1b3yt9<hzRgx6?`$4Gw6EK&K~$&Bjo@TxhnXqS*Kv-f zC=XPUEmqnYuQTy+fO)nbtLB<4PQlO2)W%?s!q+>n=VwYCI!D{s^f7KBA%$4^4w#0H z9tZQF&kUx8AYMP95cS!q;H;z#kMh*lG^kwYM@wLLce6}W67;*N(=o*)>2th!%$gA! zqypb|?*aMmxQIf42(DMXvG)QzP~fRdw{G6VteT~wuy9RfwE*w#VOH-}E2c~^oz5i1 zF%`GNwazY&BX)u8vzy_B-f*9pLnkt|Ok%OxipPv1ZDULX+44J{GT+r-pGv1W>=@{P za`IqvtIV)m;9{@%T#q}UM|1C;`q>AOmYNmV=bavw%9i3GJ4yum76=S z5$1@BT#U*`nV^RI>J22y{jFIl&wPxT)$?gb1v*->mD@vz9crs9y;sg36)JnwSQ8A$ z{H-;{J?ozer74CYFK-W?ij(7@z;jexkLa|2Ha4Th{FD~J|bcxQEzrs2UHN4*Em@S)R;(}-jAqAX* zZEL80LPum+pS2>Hvl2`)H9XC1m3W=0QU<52#TGPa6x?-8b%|$tJ6HWJXu+3L;!NY) z13B9@V0LVOpNeN8rDvIp))-u1u%aKah--dzC~#9Tg9hOSmoyGpk8y!@hK+L5T^khE zxY*I)hEIE_{T_vx1`B4%E7wry86LP@$wI#j|Ev|ku9a4kN{i*reN0gHQTAzU(F`W! zV&ppmwdi^7+_%Cy9y*U)@PoYaiyA1{o$}^QCsXY0W)XrhGjh<;0mH^z{BOR+0x&%z zsw%sE=(Aswl2};TqL){xvb^UR)ZsnBuUdw$i$NC3+MJGgvIgqM~rH zRz$q%(M%bzD|rU)tZvnVoK=%Z6MTm?NJ~kq0U^ImzLZbOyHt4BknDLBN@#hi zx~6B@qnD=FlwV!UR1z;vaqO`%_Y7-H)5NRYT`I&OTNMv!$XAz`p`(Yf5p(@eoTdpP zcxL7wD>LlloSpB|nb5N#@7CHYRLDn}a8WvE%B5@9>56;o3T8%TlWiZEBp1&fMR#c? zMd6lNcqGqJBFdsQ*x8>fcQ)KiN){15K@-gtlCy2lWl=nswimiVqvgX0)PQHlOoI{_IsMm7G?`yrQvk6v!E9))ioExO=|-ooG3OP z&=Z|3QHeCc>J&ba!GrSX5?|Gw&(LUK)HY}XUN{>I`Lw|ik8zQ~+;mZ;Lv{R+S3;>u zbrSLTmESlQoL8>Dhizu`sx%t*{97AulzZ$NcZbQ+Tw%aW>ff;44TCf4X$1vueMPv$5;TaGD`i7b;KZ^WK&! za0957L)%lOq+b~Q0%v+3eC9&CHNJt7ufTx8<^+J9;eMZnc84GY$sx@I0|=h*v83;3Fz;-an-$lR4io5%IiyfQ*>LkV5@s@DqQ?T)=^n%%U=VH zXvzh?rapv@AIdACR6yJ-yt)>qPFN9VFkx1PC*J)#*-6j1ou>R?f498$(l3+;cXrF& zZKmdTX<(^XvuAksidQO5X4xITa+Xc@%+#_TH9OcW2fPxoPu;MRPF;Mmtg(yYr+(=l z{9hN&T={Xz_}bSz`@4R=`|EdJ`OeKt?Dn+Ep0Ejj`rd}CyAd4U z9G81*?09A{zcRbagaUllMiX%5(CoAVRg=t#592%YY^fn^gPFW4YsGHwGlfZahD`Tp zg&qUrUF=;K0}nK*Iu{wjxO2T0tXN{8;A_^#q)*J=NwYRuBc9c9HBk+VVqY`NGKqV1 zV`Gd9m%h$2&BTv+kb04ZE}jENJhpxe97Qx_hUpVeCWsye&g3WKypI~pTApmEHQ8ro zeW`F7KWW$@(pfs|uB_6ZXR4jq(0vwikKxy0#|-gPT23|wJG|e7<>Vr<<*G{^Si_Qd z>tjFl?UNJTZ>JW>Qm6Y1ulxp!ZnS_5?(Z{|r@)6)4p+M!oZGEB8#X&knBcy+C;yXg;Fc9FVJXR9KlSB7dkl_3Eh zN~&FYea;N2PorN8hAm#Z@)-M0@W!clI1CvR-nt|C%8)G9pNw0LgXD>yi;|*Z|$ap7oXy_bI zqKjHFtF-`H3IY|VsXSp#fJdI=lAh$FGS@H*VL0fg+##=w1M81o)3_$*+qDs2Q$6xG z<5O2{AmdJlx5F|{KE0&fc8ObkIqCF?-~0qG>%CgO@^^k^*&Fcc#XPg3b63i-+mL_> zojNPQtZtav>?(0dWPq8v&+Opco40u@fDOZzdCKn`gTQm=&$GCFkqT8C>8=&Q>B;JR zGjg-sy>X`;`w|-4BrN#5tc+j0a)sRmXW97*B;1=(d(8P-xGlI7Y%Q_do}s`D*e=sy zq3r>4|2w=?HHSH4%~r`(@wLE29t2@Dfk3H`R8+%M+-l1s=~k*ngDTD|TcNhnGp?OZ zgofumVIrUvXPSgN9hc$W6LtuPH%lwPmbYyw)d$ME1 zq$=0&jLUl)rmc8!pLVHO<4m8=-qYVslVPV}Pd}R`VKcqY)h@4b882=9(U>q`4Sl15 zP^0;8_rh}&h4`_$Ro&9XN8XrE!pKKX?QhY@)o1g7%Na~A8q`?hAi>BfyMK+`F|ZF> z7>vZ3{}#GRc$7?E#1mzU%|pc@xBM%wji^>Y%G*wDTAgv}f7EjT@gvM>*o5~!!Xe%e zz~FEX(Wk&u>{$>$D9^w6`EoGDls}tsrz|63@>_Z2$?AsV#|mU+Ibhvz_de@)jA3Wk zF=B7z>*a^cDt9pPHtuee<+Cf5=zD+o`5&YfRSDgvzj=GT%(FQ3!DpT=*LXYXfT@ff z_H3MCf5#b4nAm02YJY|oHs)%nFjrtBI1rC{e@uyRMeo5n$MP_0J>j)gYH|ew#wG1E znyb`m!iz|M8?DC!;qB+Pzpb(Cu4&7(zF^2M!*=%Cy~L>0zEZxS!I@ zVl{VbgX4x+IX+-E&G-6sU8|VRW$``sZoK!x)$-VRrZULM`y1QDOuEAlRv5)HH@iQ% zTed+0Rg=|xQ1Q4bSY_^*1*1b&+^P}C{53XBRJaN`?2b~IZ3PGtnHjKEIT@e#CMs?g z?yQsI`L$jew*sB1)M2F zS0S4)!kQqo8=iuA@@Bth!$$xMc4A-;`mqQuVyO-{?+-+Fn>J^auD1CJ`d}Jmhp5(!2U42KTk*7)- zIE~9R`gL}*F+)N=)qOV~qIP#R4-WA)2NR-O#Y z@JyRJwVjgZ`Yitidrp~*$4c@XF!wJVU@L2<%7^0+S67`D^<^zxGXZP>D{1TubhLZGl*WxacOzqG zm^+QuT@8@X3pznOFa*iUn9nj18AI*SFQ5jtJzTWJRxS$gfL6;?!7$7PY@`n?{=B10 z*fv0hRfX3K(y#L_?Yi1oTaHX?x`a#EjPss&Gpr5UPMa-pyFfhzOJ(M+jK z$UrhQy4UE4Q+WQ&1fOL#l}CWmL_v~~bX8gPDRE|;_jXwN^WKKb%u8ivP<>6u=rf z)L9m4ak`b<%45FUGiu31#V5`%op5p##R2Oi=^JiCP^k%-d{%${zT+&00P@OJEh2P) zj-+ctmvpQ+spDV-X1Xi9&dZ$V9t%UBEOW^0*gm_0&t5uHEOSu~x zd2a)@HE){JIy7rM8N|w?9z0seyDspx7;3R*HxMx5tkug$d)CTrn@;j2UMrCmH=X$% zy)OY#Jw+CE5nVKuDB;B;yjRja;+5y_SV?6<&X_s8^`!y%(Ifqj)<&S zU0$@ZN0FG+J%Pm8HFifcr|PpTOS9nzxI=bSp5#^S#{4(hq(dJIIGuZ zPCLtZ^gs#~H5O$baFRra6}#IV&vjzYJtyWl#hqPsGO94j<#W1Z#Ehw#QW z58*NGsj$EUW;a&FayKdM7 z;l3x+vGF3Q`0ORUdj;W0YqUbz9#L8NJLG-#&ASiSOU2uXn5FZau(*8IclSNRxc1gs z4S}dCLEhM8#M;Il$FlS|zg0KSOx@z@vZZ23I2n)!FjQvr>g20|4W24rRcQ^A-YU&N zFyx^kFfM%+ZuC_7&1Z4wM*KX?OSV!OpXsC;8N&TxK7=q$CK5 zLg9f4b_e@b8)@uu8mDy9OIf_P{cX7Pdrz*+`=Ccy@@>40hdr`aOdc3-gb8p-qtdRy z%9H#DQ1cV|vrA}Pq|qM=kQ4dg?(CysG{O_!b(KNJi)<&4X;8xqu6!hjcP+l;OPX$@ zzw^@lvd+8Y9u=z{JmMml%`5f}vWS+Mkh1l6S$2Y$7uAo*yB2N|A+0D2uLV?~Ig>jp?XRv`ENnGne5@-ORrn7H;{*BVVw^zP|Z9VV4dX=wjZSvC4PFdkFgh@{H z=v?MSAlHUey_CJ6oB~xL6hwh)YviPW?d6{h+hl1AA= z&*(IgH1KU8U~H!~RgC3Dep*!uE`HyfGcz0;#ko)PRJxSKxIiDFqo0s5;A&XH5A%dX zrZatn;g9DA#c3U7`n(5Nq;C>D;-(IBovoeEZQRu_FDj(rrNL#zbsAlL3m33LH9QBu z!FOduEgrHxsWARE@d4X}jZzzW+SN3s z`(p050wa!u8<}klzfD~5j$b+2R5RR|WG8U}`jD&os2CE)d%Wh!ERe4|x)7-mRpV=h zCX$L@jWrHW_@ldM3Tx=NLPX{#to-TshX{7<2MuRX582=7Y@Q}~$nV>G4Qx_N*$f^! z@HEoYyd6g95r4|zT@3+Ul@O4pdmUv?eYXAWFcY?)^$T0|RpZ*v%IaUp6IAO@;2=)( zImUi1zB0X?hvx-Ms1Ic!sXW`@by-T7U7U}18}8h_4F%3ULgp0>9ZN) zER^?n<`!Fmu)#wK5p3ETKf*IoPR)g?>{MJJJN5I$;xYq;lTOmIx2^sW4~feVBOzx@y4_FmcP=ifYHb{@wMpi z0JJELQ}1H-kRk6Pw9=9ZY*%qGjX!3SBDN9|4rho|hK{uHOhn2$j0+j+lQ5x^1}^oU zx*O@Ip_%YQWYu$8ucx2e;2D=;`7A9PjhHo)e=c?4-)L&T%41a3r}_)KFDjeE58t;x z;4Q~R4hZaX{?-XIoWAG3#TVKJo`i4jgu|L^)YjZqIZ*8~8%f=ax5w_&<%OkkmQT=~ zJ#!}BFxur@U71S8+8LU{m=*F96A79W;I4Fl2pu-*n*<6!#2I0cZ6kE}g5E53F4UXTDE+R!M zX5>v6)0@{iQMNa&MqD!8ByH=frfC>D6`yI7PTmDe9Kuwo0#oQG<6QS~RaIbDa4V2mkpL&Q)~Y+2&h% zvF!vxx9;DM0hr$mU*wfkzo0chNrwzl>l#Ip13}|e7@gw_Hwp&B;MImzsP?5PaZ0a| z)}IE=@VV^?g|z^Z=IQV@t%Rw#EmRew_nK~$AxSIKx1UG;>N^b+ORF)Vnm7z%EblZosyZFJcsX?TU_7_*=r>GU_4F1VPPr;8@9aaT$=o4C#DObh zZZge0s&3@-Sr}V#b(Btj`*{@J;2cKQXXDjTn?$cqT*pbh)ZTF68pj*fCb!br+ z${QIN_f-*_^5;Xy(H5-24r!sd<*R%i@iGTZF#f@2h(qamez}bDzjFR8XP7ar#6hcJ zlKUAVIKZx~bJE2=Q`u)ZzH@i0fNUioVXHJe>+Zp`?(FLKSYc~ z;P9TVc?c7ZE-2$74KVo(ax$n^l3Ib#Uzmb*D%x({%2V|wpQE(mNc!HR2f|bTk`dt> zYE9bVvmlLpDOXgf$fK$Uq6HbcDszKx7^=qxv^JdW;M85>H?Hk}6zn^}B<*&dB!2JG zPuxyp2R}6G)x1=@>8#)gr9MJm=-=TC2PJMWoWW3T32pggXToHlVpO3ePlQyEkZ)R;Kr!3d^wMAfJs-n7l_^QwnNX$P&>!aT~E{ zST+_aIw{nGlytm%i8f*4Gjt98u%ht0^yYin4f%>p82%EMfsz3#vPiy1WFa7p0IE=} z$QmIC7x^7~>jJm%3D_VT;fTnGeu8ES0wB^weAOX{AK^y)H$5pcUlv*~3uNlwr0E}} zc^F~FXK2;!+vy6Iu+fzB95gZ8WZ4t}L)lgjb%C|!qd4AhP!SDI>ub0y71&FwY2WkKYO>mlc%#w78*MgGH%Ac}n@+Kde7pAls(kx3U zjR!1r68MM@GfkcwTFJXK+PVvWlQ!u{Bd=+2+jse2QH^*Xg|%rD-{>l61rG*^px(no z2d@YX*KAMP!ss7fO+`}Yxh5mbX_x8SblwRjVZDpD_AE7jhhmzT2jh}o3w~Tos<1Fq zClScDSVLozE@y%>(+tcm>uBsAaUFAl{I?g29pFt~D0+XhZZuH;I=_j+;*;2_8DW+1 zxJE9WMbZhT#qLLy-cgmLk;V3>;*1t3q|%YxbE zD3oaq_?`6h3AVF1e;YNlW=)AJmWL1`6yj`bK|uDq`z}#i({tPugK>f>jZ~c( z?vCQv-Al!ZR)8>(MXzH40EtL9`6l}My|p-Fq0i>K{Y?+rU^~kLx;a*Lt!OS-g<)ZH67N${yiVD0LmvRO`v~pF4VmR%jK+71r zA8PgB0R@Z0Kl!V4`1QXb+T4cd#B5kCP(IbdYACG6v--$*@7Z8zrR4b$hIu`zff9@9 zsvf;n9Yh%6LwyT~Yd;GUI4d&|m-KTd!wlQ1JZi_DqsyV#Gv}C&zO=-LpE&!9-erpN z??%yC-aPgDGhM!?VlU)?rN6_Ar7WJ>lls2g+|nH5p;}MWqt5*v+*GdJrK;uQE9(!+ z`px^)gcH7Q3n9)(m|Eez7Cz!asTVr7d20l9>2(%iKxaYKtm`jvW)R2dA8zq!ww9e7 zSrCdBx@Jht0H3R?&y~3hJAH2}(KrKcVWyR z@diAi*a+n$f|bY2Nm?L|Oz?xDnsK@u>$LIem#^f(e5ub>TQ$DIw9^e$3VguuqxlwF zP9miK2xsF6*5G2KWql(onIvd8IBS@CmcBZ#A;Pp>@*nYZfi9?bxLilEd{pa&6b&L% zkL0cXA;!d=L{mP()xEUTad3}c+=fTvWw_~_#R1{sxur6Djc-}2qRZzV4F@?FrmLcIX=WeA~~uG*jgRnVrF;7R=q30d@c21ndS5dont zb#_qpK$>ww*Z4Il2E{Ph$fGPinSVf%T$$Ut5Knd9S=Dcjgwk(s4s6$rv6Xk@;R_14RvG#-=s}i$$RqQbH)XJ$Lg5Wal#I(YJO|e2?fot&?E4|S!U85 z?{nDP9n$R0^YSAHpL0mg!NG&Fb$2H!scDxvs#ixNRh4OmAMEaxo6o;dE|#To?TO1V zs9NCExmCX7xX9YjF5kkt$*JfMCg|qqeWK!k#7uFuQ`i(R?n1$671rFS z84(G?Uo#+hLa??_GTT;+&*=4;)yk~sdpLo3|ZY$7m zJ4ujNFG?xzjDdL4gd<7A?+AB*2V9jUWl?(KNpS`B2E{A@i%IkfySyPSk7r*>r#mdGiBR)S~FQo!Bv*dUL%odyWyU zs-NJs(QnEq7wK0KafnSrJM%$ateD=@l(Pa2mq-Fhn_BhDzpv=`b|~G9LLA4341_On z`1Up(NDs4an`7V>&-yt+^c;mBt$W|*gO>w7hWX6X&r)g5aNN~iEUYea?2pHe%HRc% z?XWM%53tr%Yq>$F_-%f8h?yt_V+=&y#Er6QvOr!Iz z3|?)QunCv2h9@6}<+J|NI1G#Q$R4i5V8I;;PGv`w32<$iJ_j%I=>smRV3Q!E z;74(!@3Vy@UBsIPcqb6tTza~S2peG{fknAI?b>o?oMCn6b5f~vl7H`yN|)g=yCq5S z@Mqbny^oDrwE`+^rRa8?tU!h+uW3`>ct&>l4_!b%c}Z9+kMBfHaEPe1{Cb=XlkT+K z;x;s1jtmnEbC-Q^;-VDOdrq=DXMs->^0jVe?y~0$6Rt_iyDUAvggaNxEU&~?p4f)( zU>GDa;~uX?z8u@-lgLha?Z`k+E4BjFyAzfg%!>wEQMsWJzw<=^LcpD%U z-wvxn)0`5A&3 zP#*$s%F!T*7}5t!Lkpr&xDr+D6OAGfA;Gh6pUgCstVta@AC{aE*%~ed0HX&BdW~$- zG`tC`Y>9D1%P{reWdRqq4L^D|o}o3fzN0k4`xHNM_gS(vqCUiFJ3*6*N>sCo07x^- z88nFikMvCMnAFdH2P$HyvkhWy!@o*7`8Vw%-#aO1IiUv=wAW`7wbm8(gOs(@M3{LT z>p)ouViO(!alkcQnq&>CNK9Z(O-Fh-j&SvW-!Ny{TrbJmYSg0?n_xJY;<0&FA4gbWor4h6_RX zoLcN|Ll(yo@-+k)(NS;VqLirDkt0dyBzdYa%BIhPle%xV_Kko9bTKFbwN|&;AcrAXB*BTc1WWx^MuG!w*V%%i;=h)zr>H2LICf0P_ zTo9D;We$=%n5akl#4EAbt=jZUOW1-C_bpSt)5TzzE?2^4F!z>t@vNC z4{rD>gva~W${0eJ-n5;M{?(`ca4B^!fGkyP;e)3X%IE4*+^Xyh)g(w)m00m-nDV7- z`zsHlxO{#)T}7)nv#=yg-rIPSmO5y=fPoiZa;p;x>R==b6l#W(C<=aqz}7G5giflg zLwdr9gEW;~OK$}D={)zz4da0i+(Jjvz+Tf<1i@3vm3J5jBtBPlCdMX_^o5ZY0H+(X zA-^p+VJp5zSE$4%Tr?~V$#%nIoG$UV@9p%dop{AT^9o+&)?eX#d)MvI*(li9bQiez z7}hagi=Jdm6sMRn7vNW)=lI&$3dbJg>7`W0CX+z8bZ)uKUs)-yuifPHUeq>_?eT3C zH8;UIVC#IC@_gzN;xxMv}4$F(b58&8H0!!l7rH)TqG6Hc%UOMg7ehB~S281;}debVf) zm90O=N42InY@Tx5=~9{KKu(yMJOB9kvczm$T39h7Cnga)JiGeLwX*rh95GyQW=J3qgKlx=avvQb51D%5OfW zY&AOhsW29}w*30rE^&msO&pI&nhI- z85cqP#J)xdnJ@hbPAxslm$dnI$_ZcYn&Q2YS-yEXyTaO*l}UuS`lhNGquND6uCE;(pI?VxCQ=Q(GLo$hXLk{r-AQ7(|;&)MmCa13JJo1>^iQ(j>#tb2WOdVLl84 z@Z&^+GhRl;-@c3^#M^KWTG!7&pK_*8-r7;`6qY=;Vf80`-ZL!u%XFjoMjqvAWKl*x zrN%cs%Kt<@n0Dv$lU;naD*F{m(lvej7Ha+RGwev<+4}Me{5|L zfb_8r68OZ0Ya^&3mE9rF$$q8Xmb1!Q^Q9(L*A3nVcktfeO5G%mhTKE9c3i?g1i(YW zWPIKq1t)3Oq5_78K{KtLWydtZ9%bI)M#WXjjJn)E*^M4{a-Ks+*p0w^9@ZCA^NmcV zkI`0$tzwC=f_OS(hf`(PG(?}no+idND%<#)j|poIj9g^^IJ-)EAfMekCw?IA zODiJqC6226#G#_HmX9b@9umfsDnp)y_cAWyQ`wn5!+lQJcZ$pKytmVy4m%wuPbpUA zk;a6cSb1*3P<;O}xg4!sm8a4IhPNT;5Ze-ZLzzw8IiE;~oW@aY?hCrVXF|s@wW&SmYZT zrwEn1n6V!rFYdD0a+%%k7Vvlzl{nXoj?mRHi;jD2Q5m?k-oyRKyaQ_Fz)1-G3}|ia ztu(%~Vr4sG5ojMZZ}U$79v_aH;E3UATUF?K`5zrb6E@6GGeZUA+Imh|9_myA4tQMZ zAZNlNu*UFPE6Om;JZE&5jb)}aUVlDIGwEh{!&;!qxV$I+OrOu*g)!WvnBihKjd@lc zVS3EZ>etCpjmi$Eytcyza2eNmia%y12Sn7TDg_i4&{nfku_2D#q2@wHx=! z_Wey>aK-LpW*@?q1yx-g+t{#_aOl>0p50w=z=3~mQl++mlEp|sVxqu1FjfZ5dsi>| zzq<&vbdOED9V6nlv?i;uF@ZO*iAjE1f(G+oy-VYZcK*7U=z{l{ayz@BRDz zvh~((EKu*U8)0vMw`|*hWDO3q!mrRVAiE@-F_i zKc5Xd9iDOCb;dtT`<=sTiu!vxUD6WQqi6=LNU8vpM#A}SkUh=;g?|b=?10v;uSu18 zfX)v2TENo6d|6@@+zK+yckVa0cK9qOrZtO%ZsQHw5nD4qW@sb4nu}9>Wxyo}D~&ru zT#=`wMxJ<&nZDq$!3Cc>Zz)&3XQ=lYSAEK*PrX|K5C~pP6QMOS{O|OWI%&seSiRe8 z)!4w>bccpL3|F5sOxO|caHW2AZhk<&!lj9Ai4f4F^Iwr^k}?_zrMvgy>s zJQHej)Pv2k_SX97-Jp<@AK&D=|Jt3>Svx3SdHp@*5~tQrQF-KffNgQo;}}%VVLn-) z6^s_J(RgrH1*l9AK%&Sn@cL)?Fb;pt#)TkqZ<1gnyy0&>J`^W}xZ3cEyB*%fU9paE z3zuoyar)bMznDMc^Paed&kCV@USy(MoGfQ2_>b^Asn8?C{6MB=#sWu*9W&Nhz=S)q zyjbQj-*$I*Ij4*rZftPu_8Fh@O`B=Hq&681jM}AKV76&dOrdu^A60tvK)$2p@xJv7 zb{bHagtB4^(#jLnDJq!!dS$~n2gX-#C)wG>( zBfMG01mX%{>9kW+ziIM{?L6qyspj|uBppMD-I#Ft1EY9a^y86d%jeM^<@Sh|=&%Gj z@zqA}9d_-Ul&ypNW$XS{5RR!UBkX8}2{lD3B-4MPyZogyT|T$*x5Lt(Y4YBN zk1*wkU20@d2$hiaej5HE+JY!+!no`y6-D|h|^-&*%u zLQI!VQZtw|jj_<26FX!k8)Kplgh__3Jv2}{?D#9Q9FE!N-F{6Fv>*diSbLw^Xs9L& zi1}MHq?I2HA0!I4y+?}-V9MUsn|?pg=4%|9iRn(mv~`sJR(55w;obDGf~)t6uj+3I z^axMl_FgR*%K=y#Vo@$@D*=897+?909u%+PF|K28+%%g2rv2zP`E|f&T!ieh9=g|m zP>%oF6W{XZN~wDRn61_g&AB6Hcbqe~;@n!l9~m>pyB$j$KGLOSi!JLw-;eXN9)4{) zF!2*?!F;VuH7gaQDo0K$kaDEp!?Xk%mT?(BOxplfmPTWRR zeU8dP101)6^R5XeY`{0-Sw8#=n)brcbGBu#*djidp=X%`7rPJ~%Gl#}CVUAGbNYy7 zaT>2V#;f7p`@_%wVAsr1`+nzh`~I@=zC>}zXSucKq#qOm z&4`MmB&SDn~;&2M}=>iHkP0+xoJ!zZaNzbu{wIDbM z;PAyDtIiNuN~os{n6eZG9>8jitEDrh^T4HO@;bblXDRCBU6?QCM$=xu73dK*@uz+= zRGF1go^;l>bhS(+jZi>F4eu$70qR_5>lJtcF}UrD8o2|Y^<%h;jHc->49dbq%KsYg z&cnwn>jat*n`>*l^W80H`5uZcUJ17Ou)8^=X1#FdMtSD)lVzGjGrafE+uj5W!<_zW zgY$oTzU~5ZG9pi*=tkhch>Woc6-=6GmElx|&!=5GETh|D!k?xSg+kmB2~A=2|691z zG(=Skqe4~e7WJePJPrxh&>E|M2sPpeg}F6&1|xfh!47Yc3@eYjL9MBg$_fRn`mH~W zu7NQuWadX`^<@Q*X*v8ym1(=#7^Tx!WvXSu=Z9evulLGKHDT$5GZ!z?g>aceJ83(LSC{updipuTy`Rp9g!P{I6(Z%% zv~6~U&1chCi5|k+=q1Jz;su|gh5msx+$-L+<5@e~PM|mFu|bZ{0s`%3##KHtd~xm#K#g;&}Z%s|)Rgg?`a zU~4A&-f^Dam1KszWm04cdZB)x2(Ne)y1JUCo%z9Dz{S2AGY5UEM-QBETF5{37E?pw zrgG`T8a+2Kg{ieJ`nLL6SdZ9$W%?)zd_kHVEIp2!p}C?5f(Cz~mw;*X6j-aj%29a> zY7(ntWhAKQV$+Ox2DYY@)kN5Pxv|O98OQ@xmRNFtkWT)Wm@<+PTOaME6$XQ6-+JFifRT)QcPJWBj{~Esc7$cRiMyfgQK>tr~#x6?#NJETFXOKvgIQ2 znc>-Uh_79-D;)yCr9U${(^mTRx5CzpYKOng54*z7&M#5G56k<$>Z|x{;Qey*^%r@u zYKM<>UMx?)?<>mPTQ|$*#?7*`wNajW=F7{wo_>FM?bXi%_vz642Y>e;EEldkUVih} z{#AMH#b4uNH|NTif9RXb`74i=fA)|5E_+cP(CE2^7<&GBN7m%?AsJL*RZ7ImbHuAc z!Yu=t&bZWr{&bpe>N4TlFzNT6{!Hg{J5A6fG8&8qD8p*nN+Z&RCTcz>zPu|AoK`vT ziO+KC7Ml*e$>dx&D!?rJrsgN9M4pIq!0ZES2pvvIIN}|iJ~ACLwb-%8rqej)*@9$O zF+(}Ncz&UL=)>RO*oGO0jWt$^*ow-IM=OHFr{XnLDhxF-S~|lO*aFDqi50B#VaLzK zq{0zX7M^Xy>k#ZMVLR*`FBNg_mHSO5n7^}T+bz~wUSENgcq*uUe<#22=>o-}ycN#ks%tqknyHfBj7kG+!#;{D=P7SAO6tKKO~h_1FHJ!Hu_`FHgPi zgXO#avp>D~^fO<&`=`I}!-LQK=C5)R;7r-|O(bM&^CVx&%D<8$yBrBKYOxy-LaQ^q zSA-)|y2Ps~Xt@dVsEN!tAKU3OZRUs1kJ4j?*R(z*Hx)iK`3^i)=_*}Q%Aa{@qu$|_ zLo36U7}9iRw#)3GA4iUK zXYRaMdbiofz)2&0N<8&2%r;Fo&7xk9xjR}Ichki{6vSQ39betc4OcQ6JyGl*=QMlF zlPL)EK`^!`NKpmU7A*^vY1%Fo`GxMnx8p~JfpA+3ZE?EfC?OV*CcjOS&P=HeS#1}F z5x_LPR}D0#R-yzEkNiKxLlfC9G;MhON9@PZS;$T}Xkv*kn(4mw>%Q^F|HL2t<{#VH zpe1X*t8wn!#eYohe!smn&0@3$vvYHIF*PoBY1jz&dqib-kJUZ?wnz-^N`OYhvC=W) zPA5MUI|>l+L!MJ$_0TjP;!78DgaWJ1M*2#KdT;C0V@dOT<%G&~fv=^1nw!J9j$KERFqnlZ_ zCCsrsL=(^V#_a@-do;96FdX%FB5yk1|HmF1ym^Dq)6okZv9i#iVys@giaoto?qg0w zF2EJ&qpQ|e2aJ_f1qgEw!jxVzQ2Se39h?um0Us_@nZfPy7-YvR_uuUoFpk;6vr!otx#=mp(~m_R6Je?=DZj_p8ct zpZz$~r*Baa`#gV$6EV)0&wTPDm=`V@O_#6!`fuk$xO?TJzxXp$o=(|fHT7_U29nDG z@g+|%pk?|R+t11{!+OxoH(sWapi-{NEOkXisAQ={;cZsP95azwBrVgH7@9=#h_;f< zB8^5Ofz5SFaPX*zM_uj8bJ`y$NBO$1|AuuIg;vez4}R^}-=$|?>-YBF zgRUpv^Xv!8H-7Vf{36tuo!)uY-sRoTystMiv+^s4hX>ca)Z~}{j^DL5GrRPg2Ydf- zduIZxX;t3w_ul*6W#-PpunaKBCJvy0D6NQgT4XV(G+ME-ixr_&Yc$c+wnXG93rI~+3sTE~g+ca35f}z$fZ5l(_V;_9_k7=XFPI`_hQXe5@AtlE zdCqg5^FRCgZhQIk%%0_f@Y2&(-8AAFjd5{XEE_eE2Cc8n297FHX7@ zcV&edbE`umz3x4~J$}a1Q*EUnCGYg>^M5l-QwpGZRSBm|XJ`J=KHdADbNTn;rd*lM zgUup#35?L6vj921w7iLl|`1M?{>sGVwFSSGK0P%69@@Ubx-y9yqQL zaqUgjnMCvW#s?V>k@+YcE8<=KB+`iZ}s zU47%tkv8IB3Mo&Ksl0V7lL1{RkTLHG4mi7U)K99pt$6WgT&*mughrT9=zwBeS7& z=ZqK`e_{uNt6j}97Fm%Qp=p_nuxPXy!$|L*@Io{*kC8KT200;-&u~>mJL?(YsM0G z>jk^y+)9Kc4SMf z4w-6%0rYT8@#Z>-y@&}Wnmpk*!Az^ykir5b4w+fQ)W+R3+)YLj3#WxuhBH^0wuxi0 zx0jX~`OcGnosS*cs#2zl}TjxauT8Ss@|AR4G7OxL~B%LkdC1tJ%Gw zId~D5XpLDiGXrGgQg2H!MZbI7uAyerHcBVwizA-!kk07J(Zxfj1-k7w0rCJ@72bUn;O z&X^^}xqx2cjCEvW^PG808nnE7<1WFIN4l9e4I|^K zo43+LEkQ;xWk#7OSV-kQYT;p)&cZWn0U}D#0uiX;N~_yq%E0(8Zrs`I@dbpSL6~O8 zZ}2876EI^26P?98Kl54cBLZLuFpPU}+sJm+J<~3%$uhyW z;0;+AnBtx$K&^ENr3OAg!Oxmv4+1&prl}>djMyl*6HjsLokfv2P{>((U}xVLJ6}D8 z<{bVux{DT+T${?8K^gm1F_(97D~VOi<*ohCa+}Yi_`yTO$B@-VOy!e0Ex^`7q)o3N zhQnTXxW(JnWM~ll+BDh*jA&QoMO%)kT8I5F$gnPmHlvrMD`iD>O>Z^Rv|?g=wa zuK79HIkd;{$$IZgTMrsxMkXgO#-YI z`)t|6Fz2BxrbVXZB0j@yx6&{ozgR&73*|csy5C5#;6zVFf95&UD{xcJ+2+7uKGRN~ zd~_qYQJK#&38ccS;9ASOP|BTGd=ql%BEJQp08sdARc-->9eDs=v)Gmiz+n5P5YKZHx6p7BSN*|NRxDE za0_duv+Zl|ZFTWGKREC&|NIY|Z@THfmwM)V*UlZyt*jM!_pTM7m|8>IpC1~amFGGp z03<_!BN3UT#WG)5A;uM-Xok2F$v8Sw<+pKM0NhP?I@MgfN@4PChRrCqs2P4&_3O1v zY#iu^36EL0EMUFDqFzuUDLll$7L>pIGBE`|T210iPiEM>W_+Eh9$w6sxL(>E zdc=uTBD9Cd0Jj~4GEGy^nEp2>#b$q5d;^4_< z*YU4IedQpg+dBY+C9q`l0WE`Qk;2C!# z>O1)J9B>Ci7<`l;bR=+akvLqe5&bdSN0?qKG|Av@8_lb0RCY(~^M+D}qWbl)lAEr< zRXO1q1-{VFdn<2TewSi|&y>G#N`CXawFR=Z#lx&?Lou$KPx#ul%T5b>N7MT%yhp4trcK1XhE<+O!-FR4GnE`$JEf9~}QiH|4Pa|}Tron#7 z?GHh(FDM13efL*7{dPq^AGP`Pnu{uK#jbSOq)0a_-$IWJ{)@RkThpivXlckiLo5t+ zC_q*qov{O&m%QTlnpdB5(E-PtJt!`Wx{l)+x9nNCAL7-@lU&AQfdWnULDF zAq1e-Vm-5f#}66-S0u@U6yZvOmzq!7Vi%-Ih!^?jFL}o%TMD%sYqeoV$9C!WwQ@G8 zL&h=NiHWj1&QV#jMr7MpX1I*shre;eSxu9B0W3)27dj@iql;%@vU@X2#b&q8Zo2y> z#Ua5n*3xKHpDK3r%S=1pK_AbSt`El)Gcs`Sl^TB`H@GI7*2Qr8cqQksjG%O~1q(w| z-joc~Fyp#I4n6XdVR(wiL5Ci<;nBNBmd{S-@nH*-@rsEd%K(bu1r{+Up8RcvgnY2G zta-^RFTQtPK?+YkPW_dOetrEz*IzNQ^=^5xVb79jW-&5?ml2SbAhIi$G1DpmP-En@ zPpz!NF1Q3r`cID*s*cSt5itP+Ox#APVeR6%fM%D@sZ^(OQ)Q&f`jC7>G{sYcii9z7 zT63wrlr2@yE&S@x(&{c*@f+?ul4sgm$j!{kM>Z>hCF_0kR4&uTb4nl=-86%yK?i^2 z)nK$D?XX0j19BalNPy1D3mz_g45D{j5aUqNq)c%qF2+Co6CVE#o5PPi{d}#SN}X`>sw+SLi8nS+Y@-0- ze?}fKhzR>O@_4j$(=vItu!R*5Y>gyddn!ChAOOAEPd>rhOh8VsMUsOIhNC5<8%Z|`BL>*ZN&jIJZ_VK=9_f}cmT;6ea7HG+ zg_4U2Jumx2X9W}I`l;l^JbuK+7REcWu!%T|MWiEEFp~~E@-^` z_*C>5!X?|df`gGu%)|r~Td+z?Ud+9B`Y7h&Ke@DlYuTzo*GmJ#NXHU}W1mrv?og3A zYwP6)u^u(Oy?J!)Ef+%lj>511y?xW#m5j6z9Bhz1awkGn_mMSdLX}b~H!kM~96ya1aT2DHK}hmhnc1CNsYj znP8dVHoJ_`#zvZL@DH~(VRPXw{mLMKH7Mljft>niy&|-^1&pA&s&w15DE^CnzPanE z)s!$xLW+WR!z3b_G7mi8+g>%BVfc^MMr>n-3VcitXHdmg{LLi(-10v?K^JX-7un*E zM-(9S{M387nu$K>7XX3>X=0*;!bAL*w+WB3)QV$Y_F!Q;|2?&5Qvk`NT*NN{ zQlGV%&5(dbp&|AOO`;&JZfVj@e}yvrWyL5^x=BI8(OkLWZIfF5T(|HHQc*$M^&AZFNBoU3`DAz65FEbj+;m&B`rj|P z?A9B;`kGTtzu@=fd*{vHz4(@E|L(Js+ixKZy50D9c_q#of#C@G?~ub!Asw$|N_@=y zP?<5!&A0~$QbT)A{O0tG|5t55D;U=*e~kICx#`0B$q4dIv?QV&nToggi?HCXc2);$>hmT%#wEom=$FgzTljX3_E-&RA#Gi z3Z`in4)QddmaojD58BKZNR7$D&w)A?L5Goj98cpcmb$mjEHT7;)7opB>%Ml^#b3Pi zyo(3{XVHhihR_@aSr}sA$BmgMN4Ja{oy39aBbPT zchex^VMcC!C|CN-D0NNp$4xJTGn<>CJzJXrx}oC?nF1m~JFw{!%Cl;at0( zIjW$R-tyt}^(f{8XgNKGWJIl5bCB#nnI@XPxh+ym;{t$Pb$|NL2@~HveE}`q?auNG z+5|JYw>EGEOu~!A5#adYhMm6zU3~&Colrz30w>r$C#p=n&bo1wpF1St=h#3!Z!52? zz}(sFIuAx==cU{kKlR`faRNh#l8j8)+9f^l$HJfGHfi#mbl4aAc&9o1K%aOPe03|o zmWQIXOnZD%6iICdn2V9H-Y;T;($-hGz(hX@w&);No2UKDQeT6e0Xew*MmQ4$v$iaaT{$;*9J*E zEGY5|<{>=Wq{v;aku5vusAd5N6&G*=3Hd@kUW>Ebm#-}_ECOy55$DS}kw=wg|6 z$}A+>Ia5%Sz@bFlIOhIT?EJ^A;|v^SvDb=Zz6n3V6&jww8w@qaQ>=W#(BWcK5S=Mbc4*IR zXl8eAU?#&dCbJ+Q81_<8cs6YvYSwQYZgx$ikSRbs+ej-`AHWew_yjTvC@tJD8`ntF zc<2iikBpl4)g8n)S~hLi$W8=5onxyr&F*48InGsvh1Vn-1UX=W^bZZ zPB`h{7Gm~6T3DTR^yZ)m@7rjV{DX{lseIC}w&ptXiMiI@B`J%$31Ye(3jLR7XxoQT znA2rfR5H^-(ql0n1RfqbFxCgHSao9prd!f#G2cd zQXW#w&xWL6FTktvf;w}6IGy1D!{C4dQ0CZ~G4h&eXe$*np| zsWnCxAJ)w5d?)~Qr`G7eHWD(gyH#>`cu#Sylr=}pNEinKOqse73cL9U(u!{yw(?j^ z={(zkmIMEGT~x+Nt(k1WqD6qtM>qF8!NIMWW`5xb4^QiH##=TY-!yaY1$bH>pBB*q zt~m4Sx`TZ0-85^_z;8i3*+l(7+~OT>8Z0inF-Ji}&n4}#m_69pLK>L%>2B9BPpOh3 zbnS;b95G;GVvuX5fa5|9=_vKD?0<+1fy^SZle>swb57` zxO$zy(!3Ms#?6Dx+VvD9u1C$ma%R@7?fVK8VHn*u{~2Uhgq?bk^;HO3;s{>{&7qKi zwaX&?-t1 ziNaqiN-G1 zchdxuy%GaAxms?qQ8fJGZX7%xR-}uWGYFj>jL9W3j|Ir{QnpIAjvo3OT;}CC%b;Af+N#KQw9)_c&VzvdcTep43K(p@A4kg(8<*e{Cnz9O@1GHA(^3PYH%M6+w z+7`r2sd=6<;W8m15K)vh+5#>f9N;55U0U~G98_1N&CkXbQCmi1+$R2S|vGY?pZM}%h4joX&k8YrX4nu+8rNX zwCtME(FH%@GSKZ@Bes!!aqBysk@arhdC`ks{hhABKZoW?34F^BK6AxGcYWdfiEX#B zD!rrGx^;?s%D6s>ipIiYX0@<*Pu-qLws}>)Vy3U%4Q%)(qOZ{7AzM6KMcphozEva=?tU)Ly>cG7a&_83 zT$wgO4cBcRrkV79^QGg0qEm9ZM{ATbd=}a`n1x;FNqpmB!uhoeuatGO;&5lIdBL%# zUh}G7d)sT|TGcNu0#7PHC91bx|GBShTz~TgyLUXw77spa9EB(AZCfCB*_O-Cy_sj) z&q_tjW3A%l4zyYnEw{p($=p3^o~@lk@2I*m96r(VMr;u)8O??EaCpjIk!j z{0o~O-}gZC7$1Ax&Dnp~oZ<{WJhIixf@?vEtDWHI;V;9tE4GxAQ5XHe`+Cn|IqBqI9^J5EeY1M?>bUr>jF}uy`J3WSxENb8=+P}ULela$-m&}U z49oE+p3DuQXMgS&Gx=()o@)g5+XD2Ci{Hx$jHbDcuN>Td+Z~rp&u)*xVj)pkaQtTZ zbX=>%_=-ZL-5_)Oc{>a9GqgZ{`l70ez}`Y&zb!x|!iyI#{Vz8~IuYS)TTyn|%B+BT z-CQGxt{jCd+#%3kRTY7~g+Ny4dyD(C!1vUb1n&!ky?)=E}q7=RU{5MXo zx#@>P+jlUtKr`eG60xi2vh_r0u_OZKt-S;P!XsIJQD;y{@MGuf1~aNcqZ6Y zKNW$BKt-S;P!XsIR0Jvl6@iLCMW7;35vT}M1S$d*fr>yypdwHas0dU9DgqUOiaMyP5vT}M1S$d*fr>yypdwHas0dU9DgqUOiai z2vh_r0u_OZKt-S;P!XsIR0Jvl6@h1lK-~-Q%y6r|DgqUOiai2vh_r0u_OZ zKt-S;P!XsIR0Jvl6@iLCMW7;35vT}M1S$d*fr>yypdzrpB2f1N?5`yypdwHacoq;C7+bOH;b(zQWl<5R2vh_r0u_OZKt-S;P!XsIR0Jvl6@iLCMW7;3 z5vT}M1fEw2Oy76aF-_Ci{`zyz`pxH+;8oa)Kt-S;@P9zygDYP2mAl7|TqcXxzxIvi Ke)a5&F8LqN){H#> literal 0 HcmV?d00001 diff --git a/draft/boards/audioplus_speakerplus_micplus.png b/draft/boards/audioplus_speakerplus_micplus.png new file mode 100644 index 0000000000000000000000000000000000000000..ebd4c14c599b8a8f9ee0ace6318bea1a5ca6910b GIT binary patch literal 173649 zcmeFaS&U>$dYBivR#w(t)z$lU_ig6R&Y@-`N<$7MjUk$*OhJ}yzz;Sp+Yf{Q6Eb0e z21!Ad4I6&Yg9K6%Y=E+87&HV+rbZ-3pe1u?4mlj&J2Q9g(zo}ey7o0QD|dh2A9=ca zXpqo@Ab>!g?yNi~PQ)L7{CoTn=j4C?@BG_;W}$hpnNnK#(x3g}pXd86KaDzn|LQ;3 z{|UZk|H_~JOaEm`b8CJ|>F596c?xHK;!8jDgERMPm6aD?sm}c$ez?1@03QQ?5rNEQ|QwmHe zFr~nh0#gc1DKMqLlmb%z?1@03j9%}z@_i|-q(x00Dn}+FvWXHfhh&16qr(A zN`WZ_rWBY`U`l~01*R03QeaAfDFvn!m{MR$fhh&16qr(AN`WZ_rWBY`U`l~01*R03 zQeaAfDFvQV;7=@l`G==_0iM!hdN!rNlmb%z?1@03QQ?5rNEQ|QwmHeFr~nh z0#gc1DKMqLlmb%z?1@03QQ?5rNEQ|QwmHeFr~mBbqY-P0{l_u;1vHU1*R03 zQeaAfDFvn!m{MR$fhh&16qr(AN`WZ_rWBY`U`l~01*R03QeaAfDFvn!m{MR$fhh&1 z6qr(AN`WZ_zMBHmy#U`$kLlIFIt5<+*>`{HU%mFU=9B_c3QQ?5rNEQ|QwmHeFr~nh z0#gc1DKMqLlmb%z?1@03QQ?5rNEQ|QwmHeFr~nh0#gc1DKMqLzbOj*)qnT< z|N8Vd0{%@=d)m*G0#gc1DKMqLlmb%z?1@03QQ?5rNEQ||2im8`qu$u3S&xv zKS~rhIokbCH}AjubHiaTjmD!i9*g~$?{O-Z%c)wQNi&V5)SO>QYwK73P#yULZ|-c~ z9Pey?CmrtHN}bkL>UWRRV9=$LK^hJQX_RbQPNm9BDpzNNej-pRRrr)9NKu1pP)lhv zNaImE)oNUgN@>s^rNMASyIQK(>S^fx@i5KQE7Yk{r%$Uv>i1J>9rHb?(pMu@s*O~i zq0b8ai$aWme*H%`bRB=h#ct!U(DJyZp4Ua)C>C!kcz}D+aBSUNYPtWsCm4%Mr801y z0pvYt;JtFDfo(i8ql8z2NtDsdrm2)E>1n3l@E*}eKl&BrYIQL+X3wNE=if+|p8IUN{QPHrcWLG9 zryb3+M?upkWm^y589%uBtLed=f137p-bwA_!_@7fsR+A_k%$tdH!LC43RF1_QzhEV zfD%MY;|hY$or5139Z@_JRoqf5zi7I0!d0-sV{>tijk& zbQQIt05R?mJlj!ESYuAq1;^nr!T>0gDwgGgfpGYsB;1YiiXB=CY!$$Jo~X)1p~@6IZLTt2QK|(np(HSxnpDORfT>;9eCQ+xaM*}X<&<^24AJ+ z8HD@Q*S?hAfA_2D(Zjb=qdrP!&o|TZ$}EPup1PezI%yxJlh#3+Z?2_tXRfBo>^sQd zQQF-ar-kLr)7Ig9x^($ZL!)LoKHN-)#}A-EnX*?y_~#avQtN0lXfnGnmmWU2k+!!V zK(h`cAEsub&bX1>@*q|DE~Op=Ly@3Wxm=@c0XTfurQv)!cjf!jtDpM!(zTa9lbJmk z*mqrg_|7knKm6v;r-QApVjcE^V13{Y5O{elQTJ<5+;eA);&=h7?FA5?nfbg-Ww z3c_C1!YU*ZKG#u!jt@#`_)I!~_8iP9BP1u>)Uyq-7Tt7oG{iH_r3>emQ|I)M&v6J= zAj}}!^eYZa%evhRn~pNmQQ*^4EE;td<`sx6R3T9E6l7J%_DLnpFKPt1;85t};CqO1 zI5|SW=Td4m5f}zuL0hXRK!2cjtfb>(JSHA>ejy8rmNOfX46Aj5ryY#a+yYQ1xT$O* zs1&tZrF7b%{bH_PtBHVuJ)v{Il$x_k;NL-jP$KY_cJM#op;tkJ1=?4uC_1nU&k+5M zwY=4UKLqDKj8yOg27O3}y`2wsqqwhDV;No4~TUgFB7&!k5i@29=J`|v5Hxw%HVaB&Wq8*$xDy+IFH zMl6-IwsbMQ^7>`G({{Rb>jAVFfX|s!uUw$6^f{ZZUjKO7+Wr>&+)6Vu^C-$DvbTQavzd=X+u(}#njbcHpAdJkVsnHjq zqO_!glo)%IYpFvx5#D)_t0Q2Da7Hf6M_At;-lSH-3gDv011u|4E|q)fv`45|I!&i| zus&{lgjAR00D`M0ki*)GK7w%CMfh|FAYtsP&}0h?J}O9SjAhiaizNpHA^?i<=pj!~ z01c>wi?9kI%qWf-(>U$zkJ19;igD9iSk+m>%`xG^O4{5wNWJ6;;?T4bx-?gZ@Zt)UZ4;94*DR}wjbdE6?hFD4lR_xu}jCr#TD?{hsL8A z0|7z8@daG#)M321wy~VY2iX`&n@})dYq`tiYHB0EDxh+rA)-k-geaZPZ(=YzAwwEM zL#NuzF;*Y$z;7diKE|Wl(?j&&*$aH|2pGa@y_v3G|KsWM)sLpPzwzbt{(Ij}AKthP zeuv0+k!yoVcI`9O)IN4lmstJQQ?Co4+=GW#z~I&X-fVp1$Tg+i!KdvKuC1(tI! z=Ldv<%#{?y3Sol`>2&rY>Ri442DBKZci(#pLy{@10acjiW@pp&=iWqtn(6Jg|0T4-AktQwN05u6uBTZH z($4NfOC5BoHySyNFW1wV)eD^0@F-iU-EF1q$9w5(U;C}JzCNE;2?gio*Md$Y1yDka zFJa!nUI)Vs9xcP%GVq)9$6O3*_X$~yZn}7wa;X-^xsIHzEj80@y~}t?fpc$g%=t2r z#S751mUg$_Ly`8OtKP8{v@1cs&dFEPJ6~_6hj-r|U%K|OQg!Rmciw*ct3RDu2VbKD zhB_gZ1oK=*s|!VWoLY{+X#C)HC{b8XT(jR=G%fsyaH8K=%jZdxE*s={Go{Bnt80RzRD;ia-gYBuKRr-5KL)50Q?(bguR)Pq#%ssA7_o(29g zf>{YmIZ7w#05dDYYc=6!fuEmSjQitqJGGcpCgR|-?iOXJl+UEIXI{Z-ok^pOx6(=H z1V*Y5cr%h5rO`aZJ)0VhCRTV4B|b%Q@Y-My-eYK}*FYH;(zy%gFamdgeSm<09^)QD z2ZFx|jwk`il?t9(;mR|T~rJYFds9JW#yTGtydq)Qh+j_}t};>YRX z#_tf~5{ua$9LkJQ#h8K4hIOspK7DsXubo2AO2bI=is2Va^^Xg2eZXn^?u6Yj?B zLRwrPe5oy_-QAP$7J4A@S2>;cpdP8p+~9D<}HbO4Vx9v(2(O=!yG ze*H4?RZ2(9f3_drO+AtU4KfUf2ICtL@{Uq-4nx~m#BdFO%}}Av-0WgHyS9b`t`cbV z;N?!5o7u-J5k(9ZFup5z<{rw{Oxs&KgxDwG{}^8AC6!|g9z@p2RVstD_xM+d-d-nE z-M?JD@vZ+Y?LPi>v^r0!!&Is-Fg+BAm~=2)1c+HTlLIGwh*P}Jp#U(gA>j3bPZyVp zV$5LG8^os0A!cUgU>F2Kkp_b{;loLkqc#vkt4_R%i8AKzBG~=36Uchs`B+!vXNm25 zBPO9ccn-V^T^Ux5Mp~UJ_@PKh(=p6wb+*8HNMAMjCSg&6@d(%2+Ih-Q-tF%waTx~v z4u@tH7hvFQ+CyO5SbaSuWTOvh(L^v-)7f(`q|29IB9_0MZhZI~!8DoF1;IH|ptHm* zeVDTGa1RB+noNj3zz{4k4>+@SW)hztC7c-w0~$*InDA(TAk1I{Doc1SyoZct9;EfJ z)m9LmPo%lU>(r^GRVLZ{hmR=VMSxUr+hcia;6Rw!?Vdub{V+m?Y9?%|)w9eao=X?b zT_lX%OOGCX6Zl;O&IC;zdM~5ojWj}08hDasb2**jMa`gAFf!xBy)=tLHQ*QFMyU-< zyd4IH`8U^uxx*T~N9m9DfkpP!i8=S3k5;Q|@QO)3;ZYT@H9vn212RaDHy&V&F{;pC ze(mfXQLmO>e*Kf_Lg~4*zuQYUZ$3s?PpG?v@IA{~H2_FCu!@9FrxfiZqLwKtZT7UxCK+TbL%vd$jYp=Xac(Rag+`LPjT{s8< zp{a8TW9@6Sf9=)R(+BV0OuO$LF-H1FxU}wI!&n<+lg?AO!5pPS*l>`&fCfsh$He0F zc{E%=g+6hm(Hg5-fs~;?gBs4^7PaRev43QIep~ymkEpZ z)3@F|PLChALU0Y?x+t9C*K-%I#nQya;}4-OQn3Ht- z_CL-^1met|F*R0rVPTonH1m@ADk1$_DcybqElz{3ZL)Q9&04y0?Lt~!uA^WQu+KQ5 zB|PboWjRHTR##Wkm8+MSH(gG5Z*HeY_r8rnkJGtx=a7{y3RX$yFTBcp@&RFBHO(!3 zDy^LTI0=L$yizY69o$ac)(tpw9~$h!55jfi8%Gy9(muM8Zh!EVRBavICcY<>!HQ@N z6&x$}d$n}jc`+TOkN@Sr_Ba0F&oi>(`1zmtul~Xqo1W&%w;>3G<&N3wdbs+~KPKdT z5F;_beC3pEn^~yN{s{v$(+0DNm9Jd6oX(s<=x*FfN30Q;2{GffFh?kco3B=yF!ORK z&*tU^aE^e>y1*QrnNH{H?AaAEJXg}r);`Qcf$(N#Q8et%=Z56{XJ#1?v3{?=jRhRw zm6)KT@CtVoqOPtq()n|<>CS^kX?yoRAq}Z_>L^?)@)!oyvGfCk`sS_ofPo;8NGLnW zCw|itnpUsRI48qWK@9XRLOa8|E}nB^YnRO2{j`sgo87BJY(p#ONoI^@sx3kh!-X~> z%@$)lhOVTa8ArQw$k=X>-aV5Ri0?PIw(zusz$i^5Zy0kQFWBqh8Bzy^_ZcI~Wu!$( zc%;*9#(J1`winZ&r?n>%z(9Zkc=j+J2k`t9Bea+v5M^v_KLj@?)p&XoY)l=)9Q)|g zw!>P>2x-#V4-uFlbq52Y70TAnoq=zx*QEXQ0m7@XJH+dqwrhlEGr;1`&IXqMKI3JA z#wd?TU<`*9l%tL@y_f! zS9VZx*LRjkXAi;mTi^bAdh4y19Ul6=H5&{ z@?(EPay>bI>2Lq|c<=FJyt;Lig?uaYqkEDz?)_FO{hgnwyp00AX)K$=2^Gjm_@%Vj z`Aqt+|K>mXE}#YUpZSR&8n4WJ4Q1G3KshVZ!C37am(t_iTH1Wf+-S+gPtv?_VXjGR zikq&n2GD0AZEItQ1zAjsOJ%&%K`3pBcw?4$u-53L{k}ol!E-u0t<`zuO+gL9QKK1%c6rJ$XpD{f1uNf@7LX^sVGDAbghyas0 znTK`@+@D{V4F!)is(O!jdW2vS_yDhpbzGR64Ph!ViLEtyBncA966my|0m9lY&xO)z zNXn#JPfq$M4od@T^bhUUmoKI>D}-po{-=WngqwJGXuz~h`#|VbV;(aHJ}3x6vco*^ z{+$e8RjLs}uPhMKA`GNwfB}sjk&Y%wF;iKAOl>CI!}Q>Of=7D6946M` z=R87g5{ISkbnh;iSME3P^oDR+_6ujvfWsJN+)VG?01iSkw}7`F&!@#X!c6E{X9C|F zfZsz58zfzZ2IVmk2Q;o}fK)7mnF#mYR&w8q*K1_SLs?OjXNh0h{0XONZU^dJ3a zKk;4i{`dd-pJaXiH)7r%-Wr4AwBi^!uQi|lX7!Y`Au<_*a>A%P(cKS5>wlo~P%Cvu zOE2_?%Qq{PZ3d7tQCeFqs@XL%f@t{&YhZ|D_6tt{k;ho-E<)9Vd3%HuCA`s$NdyxB z5Wh^^ucA2L+1cJn@85WfHd+fNjB^M-uwvm7A<#l^ldbEv9szqMt)C(O1qtKVh=URS z*~SP3V8V`dFgq1F15(gk(z)}j1FSAG=rSwQ;8!<8)gYj%x!7oSFURk$gjXtUJWiQO zb}nE>c4CBym!Y|Z#iZEpTC;UX=U@f`?+4j`2*)DG_cWPLc|g^J#+P97>&t z`IQhAp^J>-bZ`J;!X@~Fz`9-%F$$j4bg)?n)`}7AL29x@Q9@JeDA|~K4eU)sQz1Xi z#(+95VT@9vx*hfAu-q$46=*$5EAwNNmo!Av5t5l3G0&j=66xa;6n2p@uPrseaR$S2 z7@kCnK0~NF!a~;x>qoS0Hs|0i@Z0YzU{lmZax5oeefAZs!D300Y#dNrBmKH}qsoqE4 z8#1TfVXEq)`H#lu4>H5K$yO-yhaCUy|MU-T{SQC+dag?&VVKTVJMEx`FwQbTon_Ef zi0Yt*VDtOT ztuGlqxp3P753D{3wplECb-9{e!1AmtGN%f-c_N5`Cshpr33CQ2Kep8HNt)Qdb0-)3 z$f8G>C!Xj&H(^*1FR#aF8DqIhK}88F2(pY)Fgk5QRug^Ts7F(nJ)HBHr93qq4SW@F zexA60&SZ!?Gc-KVQNaZtjoXXsDP28_CueG)WVDP3F}r3=7VfERrXW+X2FrWh8efqDq5H2EuGb^LCju$a=Wk@K9Eh+`4yKru1(FSe zCLtGNK4iX8>7?u2yL7gent0I`+k0Hk8dLA#Rz2N$+)1pT;t5GiAul65RgD3*2zfAl zc!QHPkHSn4bj&ik%Tsk-Jf!_==rc!B?B6ZJpwCboqvPZf*ZfJv29iJO@+hv#c|M${>#{ryr} zLAhrUUT6`x=fy(XW|}w*Y10L!;e+!Iy_d=n3!FjOOjJi)B1CkJL?uwrRWJ`dA{fF% z4MSeEwNAx7fC#-?bhsOBDtJg~>8iq6yw@7uWuK+5ZFc~mi7H5oT^En{#%Y%jXK@vp z(igG;!!<_02hUv=g}1m*axX!?RAB+seTpje5E#2C2$66)l+`SLj!4Q>(Qwz+`Vr3N z`whmu*&3w79?B96rYLUT`RzE}+8(gu0D}OshtO;mcPgJu3LV3PyZ5pYVxvmhA`#M< zIVCDt*;4+rcH zz@qiKSbsvyTGhRjhJ6^Krb`yC_dq!MQ^+A3yk}g2w~OJccx99Vn)g}zfzwgZd7cRl z`9P-d*J!3tB8z4lJ)0Ep9XcaF@qAS~(efh4$_IDt5KA-OO@HfW|I+x!|C?V7KmvI7 zAph~HGLu9#*RJ>*p^Fn~bN({Kv}m`bCnLl`8BA}>z($?b;s%=uVYVsDMC|NdBx6!D zajw1Swd%CN#u2ZA?Wjo|&ik&&?k2)Jm-Junov8Op9$m?NfxTt&p+O z%-YOcW0erBp4zO2?;Y(S;CdV<-Z@ie`g06r26#PJthobz#^R8;gC|7_U1;?f5dw5s zOR7Nd5k!=BLy|6r7tS9Yewi8k(NR0v4+sa#q|z4_)|nSF!6nq|ZQsV4$}lrWOu|p= zsX4RAypOpE;Yh!?fyWrK?zNsejB^KfP_Hkh=E`~cB@}7jPJQMfb=EyjSm-<6B~&iY zA)L-D4N3M=pQHiHEumb8jKOsN%JMlp!L z{Ug>+X4657B?b7^g;vAfYPxjh`E=>hmGt1zt+apt!*s-?Ji)6n;lOCjKDJ9A4fx;s z=5MC`$M^8`2)H3CUS(8bix%rVO!G-08!J@P`HSm#;AQ5)x6@Il&b*ABD$pTSpHGMF zLwH3vhkW&*;n7j;fBe$l{>hZ`UVyZ`c$xVx+rkF-fOm%|qfFTX>pd=8G}w)>k4LJ( zpEl|Ga#~wiOFOfy_jE}VF;6C06pW z3@*sIiP7xa3hB$n3^QgH9D8^nExax_Z-5*#L0&-lh!aM$2#{93PG;%~;Tyzd0=dd6 z_JwoL6KAhOY=wIk0FT}=~|WH9~&Fz$S|FMDLr@XMb;G-S=2??Nf&p(XNUo4vJ2tTg{$e4pZFw} zlEpw49l1jmib*$Kuz~fgmsiqDFT9biU3roI&Vk=4**W(9vHs*dvD=}xcZNlAZ<@aiIcAjE_xXP`xw82Vt3OBUv?UU@0K{K}i~ zkg}6a3;`aZN!U7ozTF1OdNFU5Sx*~}ZvEq(MLo6j_~d6kM~eM< z@F3NVaXDeTRHxHqytC=$H$I1W7TN*6C9=x5KSEmGbJ zWXJ9)@KleedVLn4Ww7wRE^kG6>grN;|V{dWLJ1FD)&z2Dk;CNX;W( zT?|f#C}PkjX+ZmzUV9a|Yb1s?@owyeLqYdQL>(R(Dp%60uYMx^sW1L8GP1%t-TTNW z9+U;~!~MI+KpVO@F)*xCYCl=?sxWbMn~xF5fA}L``03-#-%0JGuOkCY6I3AN(oonb ziR*wYxolPySo7S*jk+yk$)F4j=y%>pHNF?h*KYpJpZxR0@L1^aA?rbJBm55$5;PNl z&Y@W70XSh67N&+JSX*tTPk;KO-blaq*86M`*$)q3<)-r!1XIiW#v5;99V+SD@7!Rr z3})1?8PkHu2seN3+!b8>xpeaeE7Xr!X{Vo!M_XiNuo~Q7=l=C;SJVCb`{|wUJOcMh znsqk=lO8?8fX5@|7g&2DSl?j3*?ugbnW1wdDl6H<bG_!&TzPsjC;v=$6 z$A|1rW**SR+hD@d()xNjd+`!s&~1R{uq7)Gd)9bdWO3m%Jbo8%Jth(;k**)~XHuW_ zKU3O0CR!^9uAcuGuiZiThb&+mU~ING_tG)*miOO#FI{8H%?TMnL(>5Zy6uh|i;vTT z2m742(ra&gKT*kr^sToySgv5bufu}(NsF}>=5!Fx%$&O;j@ab)!G||Up&#H;$fmMm zReoK%{$jeE&Zl?2{UKvHfhWiV34!gcM<~^H`t+w>OW*UkkCNg)OgF!?lXl7K?ywhb z8%3NUIkU>1xchhRpdf?v@IJd3c3MOfB$7@KA`#=fS}!WYrb^vz6;)s>?BP>9xTPIYgN2>PaSFc0de)`~pdknw@QLwC*TY9)H*Ej zJ%?3ce;ro;(FR*#8r4YgHkjia92hUV-G+f7sO~d!uCTsN=!O^E*&%&B3n7>TTsXH5 z>=KIpkWrC+T5xZcnK|O-K7Fwnbd4~f5du|iy}rbjZs#n9i$jdt{d--6z+LZIsn^e}vSXUmIhnZuJ0l)EKu{J<2Q#PI zW-AV1*ID+MEiAF?oUn0!&+YydHnXx*!Yqci7#d!>u*A3qEJTw?^y`wvl`G3Eh@K_N7^GXbH}Fm_wll|N{^qf=I+Lzm*7#mQDSVda z&GdWUcq{#1zx?aS$bhzU7~V3p!oVZ_B@Ce1PWfs&od}o@@<+J7vT`+Dx&C>=+1>Q` z!8b5ycsuG<*s)Pzok^!+Ml%vg2t3Po$hmUw9>2ynt8T;Su-nd9m5eR zaK`UAaBl3RtSl-N|>cSlc7EniY^m;iBqcVlaiiGi>%$W2xHxz?{i2!GDy1z zNC1=F)iX1xLguPWN>za}0pTRxxc`KZ$@IMN_x6tn-B`$E9FeG?zg+}wd3h;aW=?ak zzYDD}0(3n?nC9l=O%`vDPRbY|)^IRV2Z!{H40sfqaOkA$SQx``ooF%-fV1HqK(1`tZu#>&@m2kr3XD=fWO6dK5dmT||!r3_~z8 zrU9s9NZKSLZr!|t(c2~XIfG|n@fAE*S7yk-G9O~jIL|_7_q2`SW6kh@Y#TeoBVvNJ z?#?D^W6pFhwcnvFw2us%K?A(!Zpf%9eIpG5hESdZk~(bQ2ruVcITXl=zT5uIM(L{b zL?nt}Do`dzxG>HovUW-Zh9Yi>yh9$uYE#iTo*q!vH}9SI6lH%k6@j+iZk; z!iG}ap_bk-$yBR5rWdf5&Zl~WS9afE&0r1~@%#-1HByrmCVT_J55pdJYA;}MYlLhI zFmD+P;P#u76EZ0*(5x;u(mEDPCioy*!`Xgf{=&kfI}S_&ETAyX#~j@H`WlvXCOz1= zPyc!sJlgUsX=&qs*KcZgKs+Lo)fx+VT3H!2*IZx>3ThW&f>|tx-hXhycbkc81%Y0n z9^QfQs8T&9RC^E>b+&OPU1S?gcfhkK>;^|QSx_zG$xp*8b$dK^%+kP2ENVhraGqyg z$jS?`zQRf1MzID#T4@m6ZPDR-|3GEI?xN%k(z>x&OnaZ}F@D(JYcn@+{iP3GAPe+W zKxYu*1`1hc6Ka+9vLW-ei&s|DE3dws?%lyCIz|^X*`3jmiDb%FR`6O^nj|CUFmB4z z33c$8@aC}f7(;VP$ka&JuUt=`{@6!R_HFhj-bGl=Fpkp23#-YkQ*$h;cihy=7+lny zZ5~5ck{TqOUVrT+!hRozVZNkGbrhtxZ{B(r`P)yQ{M4&>uj}dDc@|lj@0@1~&+g76 z=9woLw2RP*9WCtF?4R!8jX%HuGUWh$7s?qzmUq5YCW^JycrfV4g&PL6eo=wrS5N#k-8K?qpM>h21eU(Sgd`#?n%oBzOtg)Pu1n8oOS|wAm zb&y?Rq0A7k%l2X?+*(_`#fZ3B_=Z@b;C6p;F?D=GEZlCH5CA78wQjq2&yxdjrM{0R z7_%tnel8iU5{*%wGNDbSwt$PjgdzeD({Yhq($}wELcki#lO9t~<_^=n`*)Z;w%Mz8 zogL3)y;?_<_fRO^JxLEXX*Qbs%;kv3FI@=5SHc>ER~nJu{oS`9e3yyxdV21;>j>Bt zGFH71uz&JT|5>{Cf!j?CyLe=a)$S^pt}gqp9&T(y>_d!|+lH3Y>mT_ji^1z`RDFk( zEV*aqByQJl)Yn*aAEl46D`TFq>~3#kb=gmdA!^RKXY6cR;Azddh1-OU%i!YU&^;J^ zNWbnf9#9|p@lgF$j{FDC~8QVdj2<1$7 zlackoJU`B%qzw^X$hmsqqdPvPq714JZpl&?swzU{xVeh?4%Z5ZYclS*X57k6xIP<% zB5@6W$k`J+vxVp6v_g2|f>rSpC-VxtiS^xi-BRcwcAq1)j;^8>ggJKUB zWrlJ-`mK_Tuu>u+o=(gq;1Z$Q0_zVu%q?1kSSN-DD3Nos66*wdQx|31Y*9BfoyQ_P zWEHUsvz=c#Q8y*se0apRNR6%#R>ZekM04hkN28MFTL^tIFPE} zeFX2=4s>V=-9CI2oDd80Id@#-@qsGFq18HOJP-v~uIDJ&&U@sKipQ~o{^6vwg36=`(x?z-~WA))@Em4$c{o(VQHXE(&X>}dSJYc33KP!o77>e zPy6&CYf>zhy8DEo7)tvjw$1{QM491zL~9gOAih2!vtm4GR)Wh2h5E$x#JvIu{!k$*PPuso!d(V>o(Bnsdm+{_x~+3{+)Q65jvtA?=xK z&^+ElK>sSHFdseUWfTa6I4L9^3b7snY*0Fct+EL`l^r~lEXR-gR|m={SGBx}aF}8@ zByg@ahv#x{mf;EWuPzUY;Z+q5pUt0P(xTUeJy^lJcmdCyANt8O84woFvtMoZ!SAIz z8weHn8H@850TYFKWRvDt+c@6+dOBh*;c(saw!&V&2HxK#12cIvVXQ<0^Mr$RJcFX5 zBSa0R2adllp&M|k)BbLs+j1?;~feJNCU4a zffYO%?)L!8><(pjc^E!;&|QSD+>qCC$o>!k$I5-TA+ZmG6?C#<7#`E6+==?CwpZuK z9L^K6x|QXd?<3F{vo`clc}@w16*LWnJRIUZx7ky-K38LLk$E3ad7iSn+60fZ?>oCz zHW*g}0g5L)DVSkJ^wfzyZ`L_~b}4=G)vM{&Mk{^e?fppZjGHI8~BlQX{Mi5Wl~ zvPNB%?_mTbW)K;A=uSA-P-^Vl9NS}2ygrA4z+21jD&d<6HEFGqD4Qy^4nk1QyIJ!W zjTn#TQCSTW>5?_YK*8}ZWoqFNLLn-Fi-YxsR=w^wNX)Qe60(fEZ(m zet_?8aK)sF1@`%zP)4zR(gzHMUos*L0zmM?0s?O-twLn7`|U-L7zVgwy9&NJyp|pc zz(j!XLat$*w#-zy{)9&(ggQDl3Q*}L0!qJj=laXxQH`DR)wG0%++^X|@Haelj4Ai4 z$2XZE4(<>c{pc?yb`Ise02s(op9N_awEH|SL)REB9{xKck643qmn{AD5Y`Se?+#f- zH+R+v*WAYC4sL1SN199Tcm$jIqw1nk%pgNew&*nQY~guSNb=-97p6xwqBoWABNGrC z_=KDwTLxZ(wi-C=N`DhaW_UFcj>mpI{HsI`nGdT>CYJ_;zl@Iha>otP)N zV_-kL$%3Q3Qy9kcF2tEGd>R)!2y$sLh#1CXP|+jjL0~PeBc?;dCKSuQvuBF#f`D2H zajXefJb>&IlO_w=1g^rU6PK8|t2vhF3qaDw+Az#deppWnr66bKay#x>FFwFDDIk6l zQ4c2k7(aF5u?PD@!4(!gmtkAoHhMsaJm8V^VSg6oW>Fdq9pC|jyuhP+Hdu5^P{fqhvtR=!6AhWn+HvY+4MydY`Zn{Ms#( z8uY9(a!;eDw+n7c7=+sKD!lH}*Q?lvPs$n!Mz&U?XH}yHc}pvH6b2;1@|noAP4EGwzCRIXB*rtKw(d z65I;+!nNboTYDWbU2YTG&L5j9vJ)Q3m+7u`lZz(WXymQqekyh@1t!8g$5ZX|0y| zefcAOeamJ{b_KwH^Xq-IC(|BU!z-mziwm45vz{~~?l<8P$rUw$FRqUAIz|04FBd+)6_( z@;wJ9fhM0W8q{TA4bonH22xvEOy^#_N=)}K9d9Af%vKdjcZJF=h14T&<(7A#7#+YM z5DUh|HI=CA06cOP{c~Jd692qyJ6|~FM8>y@xGguB6m5ko?)D=J;E(i0Y?pyWpM?o7 zpgYk~s>M$!kAJimKh0DoaK0apof4avG11z|00qN34c?aG%A>2u9qhY%{au7pfs7do z1th= z0qj%ygo5xl(wvmpcRbjG(qw!y-^>oou+PdEO(ju5+~Q)^qr&R$0*i8{8^tf+gHM$@ z$HzX4zKwxle-egf1TS3^&_QhP@1!a#-)T6fh=DpU^)QP9&qEpcuA`_btw+cTbW?6l zaJ6nm)Et*t39hbRxt>NHo|)t+tMF4ml;H}cuU66H)R`@+ivt!O1fnHYk{z^L2n>B6jd;btH%eSFW33C=Sa*Kqmzf_X)Ed8Ak-Zd`BzM;hbjq zn)>_?rr6e1QU%{YJA?!LgkLC-t-Xe)0au}v!PYIX@>}apg&1GpCmf0*Xs5pL6bRdT zcx^q~nn`hwjE`N~0&Gb;&BJt`Q+B2ctXv#}DCmORf7ju-qEnzs`w2P1N#*h_Tmw3VizA>f;=!aoaD2nlpaP5JEw4s`lWhe#yOvp&n8LLVU zzfTeJm9?dSZ@N;tD9mOKJXiQni4HvXm8-%~zKrwYxe(wQN?4yfanvbf!`!$0Q6Y-< z!gJE(Dy&{!rLsSHqD-m~eFk+*nq@@%`Q?B9FVnC6?r)|AUIV1J(Rld+28XXwt+QXr z7c98CD&M_ftQ2b_;OQ%yjIAB7FRK{xkdYHyOT4KcnverL3XYZ0D67rkK42w-`U zW5GK6Ge7FP)x&zG&zt~B^SwQGwz6NyXF2pfetd_3DOUMxKeh?D;H)8a zQf&Lpog)^Vcsb$eGOuf8GoZwmNKiSHV}(_8ae|lzO3M6*Rq)fc3yU3AugQq;xN3#P zNGDV(tBUV?z^X+|DgrWBk1=F(+g)DyQX9^vS6_T7)!6smW&eDc7pD!Gd8Jub<*4rm zf`vfBSqW!3p!mQ|Pn3`#HD94V3M;}#efunqX9Y28!pJD&!l5cS9>%Yp!_T-MTcC-B z@?ug}${>E=mGk3XEAWM&+pmiskwf*IkMq8ufdUY98I66=*37h4FBFZ=WvDPCMdW=H zHs(Qrm|hKnbM9q}nQsY?tPlkmEQB?4ya?FM?wj7`bU+ zHe<6L8`SaOS(^IF(@%fq z`##Gvy60oryNw_W-Q~$%_~3^Rup_u(4M9X085Ycn`Hsqk6=!f1#NFrzRu}UP3Hg+P zlhm8|fSmU-p(hL%3T0aPY-xn6h06l#Mz})2GgHiIQy+^_;1=yBaDdKP?u*`nnz=+n zl)aPf^fS+TG~385VfhhP$75Q@{HbFOWkRVu_l4U&QJ5#Xq>D%|<+cbWBvV-Q4zYg; zVQBHdkPHnalcd%GG3o3f-z=Ubtk41;x^7No$z1RvTYTWtfs_X;VDL?2+e#OY;0LD# zt)p;qCpxt=4&m8WWvuWs4Ef-iK3$7&Z;XQLkqs5fr9e|AeJm?Hw_%p!*Nb@^9l32< zh2iHsOxt>n!p-tl$S!F=7jsM)EZ|XW=a_mt4`WDGH}6fE^E3_BDYVuh=zUbQ6E@_S z509}+XvdSSV&Epq@qut~F)i@ozn3n4B-KZ}BoT$%XRh?%7LUyO*evfc>s8s_&RZ&0 zc<+~n#DkDi0_TB>;3*8*Abat~<&UI~zWfSLe(|;sI&c$E8A6&BX`#tu5cM&|JP8$8 zup_%0MHwkOk7ZcjhJ2oFsAn3w`9 zCnfAT)50i84+5`wr4YMfvA8a-J>YT4V+@sJRv}a-QC6p&7>%XfH+$*sy2Hh$U0&yypFn;9gQGSyF1npgpe?D3QtxxPew_9&u1am{c9l-p%^ zSpj5TC2ZFav!;k`%}y`hUuIjtx#E~U~thc;6-=1e#1BF7_tdJ1PAG~ok~H1@qJ) zo@}0-$PFLB!r5NuO_;OD{f&EfnZDd$UIZ_~&;%&vU|=7XCo~(U!oYQlZFeA&tn-bG zE#5)oV z#dTb89~5KYMOxf8zyFY6dYt%`FBt7$T^jH5;B%LCnjm2an*??&SrLPg(bfquUVto< zbD?+`2mw&I{DiUsw+GRymDNbJ2wNeE`v{5WT{CuUic@)gQG+j#%);LOU--G+i!d*KBLJac+eMqVqb#z8x(zXEyl_q0DlhxIGK4ps5>~sR(RB(0 z7MK|P$Q1dMSXSDs_=ex0&L2U17sZ2o@+qkFk^bIur z*wg`eu>&4|TjQB-UnCgma_H|bx6Pnv&e=j4X%hw}K=S8_0Os@Xrc6(&DiKEWF|XY{ zhzsNHV;o8v*k50}nH+h%s2RsUSm#3F_q>vZA zxtAicH7r03co4%(#$d8$;()~`TLJ_Vxs1iM=tY7Aa5~7H$y|vU4C0oI3BintnVA%a zvdDLNuH_Iv=MZ@STw95wV2Ch0tmj7$2GiUJMm zl_Ak0E2M*Rzm31o62qaQ;f32d{QV5y`>S%S@CF{U6G!k7svq3B(lK*sSX{PF@o*~8NXJkfIr!*XAt6OSj;uURP-pbkyM!FqfJ z-)!fbWIFD7;atHMhUYGecvvUGp$R9$JJ>h%q6YT?8g;YBpe^`$Gs|4Q=MM*UIz0P8 z#B`CT5Pg9~4*O!j;7fxLjSSbe(WSW1U(DITsntUrj~~YK8~(zWFaPjG5LLqCF3-Za z5p;Q#UkT8Wh$omaZU_{F%mbfc!gTe;OX-+RP`~=m{~3=B-pBit;^oPUOH9)Ic>uPJ zGKZWnAjX$@5%|XFo+yyG(px5~r~czQh{^S{ietH-5VDCf#6;FEgw02*8>!g|k)y8d zye+XUr%nzvOlV+@7_ipguuO|+-@zo?X`vK4+Q+l{0rt;x&unTyb02^Z?%4UT<p-o`%J`!DnEEvPye9=1`Es zn1W~Ks~#sSxFMX09yuK%WrN%->Y4@I-8o2)H@9QnruVZ=9MM-kwJjZHa_}8&6e2|@ z>>4>_$>!dpo9qPHjie1RNV z!is~Ro7k+Y=WnslZYX5hSpzMoNLSOwb5Y4~g`}bYKxOh?`~yRbPhpJ0U|AJd(m~qF zulUDz$N;dndF`!Hz$)`26A9uNnLC~e4Wk;}WT#PrE_BRC$;X>x&F&uW5V~!p6@Jda zS1R3R1LED?$LY-TE9v|TJmpBHFlRPZX87nNP$a9d6`SW{zf1+(ECj+wcz8G^GYe?p zJ?ubcN@V^;=NZSaph~tK^Dz`8{O0vBd$BEqI{~{4PE~&MgU6-Q5BvZxguW!sxlMrM z)Zux=82I*5S&0HJCbyrSa4+sp>UoZHO<(`*lz#Dl=S?8IoO;YN+3}Nr3Y!&z6?$jA zhADWYn6kn^0qP0N+!5Z{`^ZN21hgZS{De=`FQOOQdYEu(zAa`g8F-M+FyO81=vpkTD~&P$4w# zEe7Spb9RwMEVk*`-)5VwKOwXZTB6#0?l{Mg5Si-`Lh9vk%F8JAj+LpC+eb!-BKs}+ zv`XM2vnNNsC!um*TJ+rZX`KiQs2|E1-vv?i3c7L`#2=1$wioz%t8im{*+-}!OvL>J z4xC7%d_W6F5e(zI5FmUU$~sy5U>t(%Gv7L5rCv+rLZHk=e7NqXkG{#faIf>QJ)TA4 zK|=0x&S6_mqk5F^hcXYpEEk_i^*9OPrp)`E3-+_0OZ>z^N_QSXgGgXZ)>0;L-1eM} z2nCKYYn2yqNDKRMjB%fD>1#Bk5~?t!=OamglElI)HHDMsM|r1#9zVtx21cHbwhs)K_+A#lmle+leY3h;)eoJLAuzl2>P%^C}~_vBuVML zOl$`m$k8gmIT(oi6nItySJ`dn5sapu@PnWha?>y(m@9Er9{LC)!A+IN>>NdWB&y>< zNW!yP&)%ON5!z7{@T0z6kfflBb06W@-a~=#V+wZ`nmMK;7WZcP**0`4Lbsqt(0~Tw zU^}k`FzH&D#br?*-~3T93lu{Ext23s*^6~-jLV^$kUC_5OilXh>5^x!t{3tHm$ z57|uD^{f?yn1n~!s!s++;|xl6 zWoB?fAMw{e(Vy-faSug^{^>Ik0l9HzcqkPOM9QuO0(^x#9*d<|!V4>y%x#6n-NBhr zxnXYRpd7Ou2q*q>RtOCE+MY4lkDq|Sw~D-Xz{~7-y$@Z7p$r-cviJbidvRp&lMBF7 zN7%&?*IcMX-iq6vqd~}U6i8Z?Npu-8!UAuDwv!I27I*?AZ!YCKLkhfU7KikZjnT~)a0+TsZb+tw3TLA*Q$GtOv<86TvYsU9LqA13JCPs4v@CnZJYcW=c;yjwCf`{p zZ5MYY1|<(T>d}`E0nuSRJk{dm+}IEf{bcS({A?KWHu=J}z7aL&XDE1j$zw{TPk-@xJvr_w%I8`u6!ux$WcHq+3yL zJr41xQoh;*nYS z*$2M6zX=PoMUk-nbAjp}Z|GR$S7|@@$I=m|n&ZgVfX>Rp zi9_^=@5y;Ch`&YmqM46pyQM6KWIH}Z6+M#?nq{I9pGh5o#2BKof3iRZATGlTNK-m2 zQqkWX#zGbD6(8Yw*e+;*(#GcE2{zIqx6cT~W6dTAU}xY#TgU!{zFrH^gB#W3gE##mKY*5F+9^$=J2?;&{maGu;`b^0<+V})NF zI2tjJ1!j}^-W*$~8ayI#`~C(mpPT0efXfpe5K7u<5C&il%FTF(ybtP?*WX|-5Kr!* z|I7R|nqff4ZQcNr7N@)#KMzDSGV(b)Ct`dk(x}CEAZvN<nDA*v=)ZvlX-EQH4+Lvx1MV`9>VcD&zG`CF`*&hBp9~>mUSJs$1nWF|K(;%livui zOjwLN(ywuuVOzIgu>FWn0Gq(k;(8bsThE;dMfhV?JxdJE`kr&xBAW9j&f_AZP~5jd zbXA}+sbje`_3~NTT31DiHUh~#@Rxs4XbaDsYv#RV)+%_QaOy#FpSg|phZ>WQuodV$ z&hV6BOdY!kmYl>fgXuyl6~Tw{AV3!6%q~6lgebsMj_f1w2p)*BV?k5HA9*1hO9Skr zJ@|lH)aFD5(_5%eF>e!38`uY*@L<6AJSV$ooDpKHRU6&hbMuyat5*a-_t0 zyUOO)M(Xme>bQMC6D=Wz(@nagMvm7Zc4mKQ8l3fIf@){dz-GT*>mGDRwxwgRQY zJO}ccF(O6rB&XRoDn~nsD2+5|p-A>iQ?7-f5`}C~7Rd_AivFI`Aj6C2f5MpNb*31Z z{GqM5Wt=Q^fWjxV6EE)hq_B^O=S{&GUn<#I;Ho?ZZK8}n0M*#~lkh#BC_dba*UVFf z0YqWU>K*e^@e$#nk6*;xPz0Dm@r+U?kk>v`<(B@->`dLf_mG!0+(V&wp@Q$Wf(Q-@ zw9+XrL>|WGMLMT_5D>y?IfN5RQg9ulGm-27@sh|?%Kc>zAVaL7?BWd*0ZoU|A-vIm0tyuBK{oWVkRR#+>_>4(@i4$WKyR(Tnvc=a4~J z0I9-1`|(ad2EL4ynbeF4r;i2XYrU`cCdZ_kXe9#jks(dm?d^PZ1Ed98)=Rg@15cI zbiyD6@6F$d90+M0{8=|wozb_~~L@n=&l& z{kJ|&F4+ZVncu}`Q3n~j5g=IZg1gZN1jI*}W}V!apdxMVuJ^3% zdH$j-)F=x-f@9kdR*hX?Wjkwga8sf5cv8lBBnP04O975OcuRSqIKdmD1pAKgopJjs z0RHMgJnRR|tRA$UD2nhi?erQ7Pd0SI$g;!sA>UUuISLf*L}e1=ct@M#0dL18|HR*G zGiScIUAdD9MC%U9|C1xPk+=3IK0V&b`RI5fU8t_`6B{KWuY0L{`AWL`;7;oD9Ljax zKDo5w+lb)xM6USBc8NxO$7^4-7W_%Ixkh^KV=twLA3R9!v#GAmgJ83~`f`t*37cKs zbi!^0krI#{>;7Vw0)!h)vL1n_6`nbYP|nh53&+2}aJQU?f2C858-YnD;#NL}5JAc{}a%6|g1eNJv$nxRl;;p9Tk>gBVC z_vt<#lbe=JbOv0dU52AkbJ18ya!igudVA!**0cQSA#6WE58*%oMI@BKdtAh4veidL zGQ{@BV&e&6LPP23VOZPazIlb1e~6^$Oa@R0zIAA9H%`~>33BI&ZCn(^aM&C!t zHHP#-h$TE<7#H42SW%yGi7Ra!w{>#A88-=?<2DA9}joE^UgHQY~!{7jo~I^hQ( zqOLA3$H6E~Xro9$FwT8>wtJ$)KHmKrM0C^~d`SQa6P6(g9e^f++YHWgH$Ub$*>ksC z7{2icLfOrVxLEp%cliR_=T20XAP!({7v2ZyF_iO7_=aV^p`AbAt<}TNdkr2%W~NYJ zaeFFELJhQIwL7dI1z89bDLMof5cfZ0&I+WbG}+*MPBz7dKa#?8t9k^3`7X*V_x#Dh zMZl$?xEJJnQX$_c;DuaH09a3|I^XftRWUva#F;>cYiJ_91p&>3;rn^TAl6_wb)1&i zdu|VHsOWv|g2;K_e!9qzb(ACD2ke{ieb-tj95o^xO}Opj3^}wL@c9-tWDR(b73;1m61 zWe|q%8n#{t9&J@fX(lf7Of+R$pGm1>DrCX>@$rx6lkX_>+K(ts%Eiee>RC3qjrXnSg;nE{%5cHjxqYojNijk2DGdz_knp`=G@f3(j*a zMEd|=j0br954$4_s>LVwoncLy2S}^LLE*D?isIs4d;`!rMLo;xBR>3LN*d$I9soR? zIE&1rg$5^Q3+01H#x+j)6VF&NI2E)w3abw>pbcwX5ZF0PVZ93hHv*XF2S$Atu>0jI z{2Fx}2%*Ny2mgZmXr3?&o(qdhKAJU-$+rnAvtoLj;;@}GuECc%e*uj5CV1TkzDIah zWKVC$jgSKqVpY~~R2*rc2U3RpJ(Z^Il^r67nc6JRZ(#)7g@DpPNQ{Jd>tMhSnmB!^ zmH<}eHAeB!8kDLkBvd%z_Y)V`#&cnvU$x?mkjEqtTKr!6p}!xl92v~=HkCk>KfxC+ zv(O-r5$sAFRfmsN>Dl`dPz4kb6Bk;eLfNA8U}W}AM$cMy+eIDVIOh;~h177t_lrq1 znW*=R1NgqRvqZQjlkua3b-Y4}$1`HC6xPc*ik2>~C;6g25sgsKlsHya{#i%C(Cfzd zVkj9Ol}F_>{$5z%x9xc*MTWSTmxaPX+90D<{B`V44$ddyl8cIalkcFNcetLAadN_! z1B)ewAKY+!TxU8*aa5H$dZMT+jKF{|+wjT!)F=sD zVa$RmFMOn+2~2+y+>B%hD8lSRj@I>Ky@1740b7B$JVGyP1#FDA$^$%cDeg(*6`r70 zS)C{Q2)}%n2gO)yPph8O~|Sd`@=w{X%|<`QxvCir-IPjEAWj{0QbEzir>+ z7i~}Z*)ox`orKrDsu0DsW!}mM$a6xKXyV`tMn$+Z{>0rscRi)aUI1(I0hVVB`q>p~ zuY(W(SD>Y`p++f5g$y&$n#GPF=2jFPZqLWQHZh>w+~}(!iFq}dct{x})=iI49p(I8j z&Non1Je))&vGuYLVD(+79Ila{Y6N(^8aDk3`~soxuRD9)^wRgfl-3sW zJF*Px%#!mO;*51D~^IU!jOhGw!RMFgI2ULqWi2db-a19+a6}PA%J$x}q=9!+RFpw&*I0ep=y5isq7g?yrzxwstUhy&3V(OTah1)^TP-C_=)vRaO8p0hdb%qwR5S~%m$g~ z&9o%BXFKxEGc|sB$wFi+6v$skI%L4r>Kwl#pMRw&H+o9cX9e70$8`W!eS&AvNq7(_ zUrYwAR}g?xTRu^q+$vifi4rhl4bFEIPq0JLaQ+hd?uyg0?D&v|`$$ z*hgdG7WXZXK7N>+hY|`S_{V%wOQP`ic$B(OIPrE)8G@}mIPcVGiUw`1pXab9hz!pN z1!-hE`;|X_i-+f?w1sVwtP~2SA!Gi`oVmh+cg$_6m+2uKN0jGF17zvZqX#4@c$=z9 z2xEThx4xS0JiNy{6b_I#6DWDEg6sX4rJBjK$i>u_- z`&?`Bz3Bi7;z^*4(1bA{XdS;|-V;&-K?3prue~#m@hrRQ`>pj?``*>tbk9uBbkAmN z$AAeCf*c&c%BI8#5{N_rjQ>gqQ6S@gK#C)x@L&Fjf*2tuV#{JB3J^geTLOY(L~P?_ z?AfQMr}w40_I=IgbI!X}HO3~k!O|e!t$M%r-hFpD_j}H{`y$MlSl`1A8u%Lj@zq3` z1Hk4?p1P-n@7tIhtzacavD({p@o%Yup}L=<}F4 z=1^e^I>gdPE=xqi)+nTvMy%smyfnUBy)`G+jc&CQYcGXc`xwABwJ{;t76Lcj zMnp7W;@x-&XP5J4wrQ*MG446auCQBc%)(}yx4U<5)7?GKjGSeTKUwC8AKE=;B7|k; z-5o-eAtPiQi9F769lLAg_kaI?mzQ6Dj*T-IGg`PyF26=E(-wOP-=tTLX+1Z5W5NLtdplD1napO?w5zG*tyOjplO4g)hRzttJG}Iydfe!C=F-<`#~Q|6ngf zhbSFB9Cwu8LlLpoNxk0aiZ{ZGnIbrl{t(c{ky(Xgc(3ip+(s-Ng!{&A>c4nHVV#K6b9$dHDu=Z%xv8<{WZ1&#(cv%G#hs79jObG1a>v zDH451ND65bpPrD0J#las;0DM;f-?~kpCD8)Q*e_Bem(jg&*mmr3rO=da>2@w3U7j8H^YcVZ!n^viD!i&=r^8*fjUlQJfbPnNbc7twNNDOL3jvgEOBl!1L+~i zNJFM0Z1M+tKZTJnF2k$Nn=g@hX$e{J&F@~i58-YcX8El<9j0T2%^JVuLGX?z`EEK6 z={7uhN1)YSylaN%ut&w)s8kfYvLzsuh`1um$UnkPei%M-edssi$arhq=_X6H%0uI= zaT}k{wdC_XX~}dtC(&^I9^WEf;#U6n^FK^rl4s^L1ZZ6#}3wQ4`xfJ1+GyLbLg1Ugl(80TmoeXm+px((qTe<*WL>cUNKjXC)toi zILvF_Wp?C1gc1EKR1+MPwpS#9q-5Y*xA_Ydss$nXf_4JPa}ZwOhhpA+39l%_jG_p8$~5?AlR;Yd^LeI%EOy!)Ep-B7*X|`>6E7d0>8dj4C>v8D_*sC zDrOB}uNyJ;($ss!uVSwMFYe9LjeM-*d^K)s0vi1~CMe(QyYcD1(qjjR#{8oSz3HtXa4^R`0xkRzn2d-LhlE8Jq=IO$du9M4|zZubRRsk z3pq>P@R+_My%ncwUxgfa`0iDyO5ydrmPB#BDHAd)apI~nISv#(pS&yBM`30a*f6S+ zC=0$k@YT`c{Ua->q)%a$co^&{OrSA~lBs5#jVV+Ch}X_Y@o<(WVIcscMJ+dmJo z&-$q`hH*M&a_>|u?HQmN*T=~jcFN$COHCm|@JG+7hmyd%mw+=^)W=*SW;kGH*JOS) z07GeRVX085%{@dQc+Sq%RN@Rl#YvN_7wqWeK|un%2oaYx*JuDWj5H{=lB2;+0Du9z z?B&r_ok^Xa8n?Q~1Ymry{i9yPmQZ339X1qX9NWX#H0d4r+9d)-uCRE5yLbQ3~D zgJDY%I1r!hIBP#jnG<>~?d&e!*%>aY%&3XlLZm@74aQ&71@ExuC*qIeQ0Yt?W`Arm zz(}vVPBwg~u1o5FLCMB<$5JAzH)0n`TGievh6@Wza5Nx|1 z%~c{SzRA?eq{@(>gKypge%uI}zPgC>Ic9OD|e z(^Mh!S(UOm)3~Gb zN5srOtpRkImcT)J6(*@n%(BX>aAjIdZ(zZ@BB;O;X!wuuv}V3y$@v9qb!oyRxA;7IKSSO#6qdRUi-4+A>Q?|5-W1qlrsm!G|ixHb=3apFUU4 zojS+)DkEi_Gh0?TEb5aleuB;EmrQ3?!ONq}aM5QOz z)bOqXRRO?RgdwelnS8+y?`dR8`thU8^Ps2=<`iDJMYVxCz!1luD2rjZODfIJvc5W4 zy81`CCOOxZF$glU$-NVVJ;bzPp2aSq9E$kIX_5*PW~_B~#K( zNRNN;`JWKa!OI}vHG@x5LWqP_32qoS1;jHn+X*gdIQ8N^Iy5 zVbd0pF1i{K<}c!C&`$11kDM_3=DYN9$rZJra_z7U&hlsPOPH@T@+QpF#E9>*+g;y6CM_6Ie)o{7P4vwC(ySO?}(aEgMZRQj8 zGii}J)#@r0IhImxu||1)mz7`!xPz#K3H61 zIV;T&l5q!pIdM)cls?0Vlto&zX3G94yp7QWjZ(7dj9^3bmLNGJzl)0=!5CzX`Cy*_7oburZZk z-s`hjmwq$b0s+2R+=PFb;f0;dGbD$q59=E;)wlyaBgt@m0=A$fVh`{PI%$dUf@bwb z)cIE56$azu-L&|8T&c9PXbWL79=-D;2@yO3Y|9=e__Nyx#pE6vq+q8z{W^d&G|cAP zOpWjDqprnBlPhGlHF2DX_SwRQJ*`?l@rLhBJMOTKKTWxZx z(H@%TamqTHJ#hl&ZI?T@ZpYRoc3};&C-CC>0?ZVq1R_P*R|(=x#S$T|2%ASu2%CWN zT>Y5vY#oNzaOaOaEAds zK_~8_XM*=+ghG&E`@QCjl{wO$bv&{S*FBT4tdvIpQFl;;g~fW>KAQko8Vqu(lV)|r ziDF%4nDzAgE>59aZHH04J`NMwrrx|oV-yOA*Gz=fkQ7{7YrEydbU#XTijnVIC=CrJ zs?Fphu}Q%0o@SEWTh;L4~X$~r~+xc^|PJPanK`=B2?<>xRS=|zd1(VYHOHgPjU z=Nq!^-=PBIK1ycjGjq0oe2hl_>OsMqF>QigK9x@^Z|7 z8=wIyU)VHG%1kUbek@w|n0}n=TInQTUtxrmqrNGD2FM);=yJ*3#9=4|(pJ@DBt*dw z91|GbER7Hwwh5_;^)6}^ND~|g#ywkwGB5s2Ai#uD@Lh&jp%rs4!?11VOQeKw9hOc( z*F`vrTdI3l#Cts9-;MEtrsJQ8KOphfjDnkrkrn%FQoX|gO>}jzKGnZs)YMiMbPVH7noRl?)GOSXv(6xrr^fAwVVg6p8_0AZ? z#Kd@c{mN@)a)wQv2kCLxTj5&g)Bx8HfHguChXp}|B}x35PUwqF0g94O3V3#nRbGg5 zlqKBlZabdFz&=WW$Pz-C?6$2up31|mh2gl_Z1bxt5~OS!&8L&(C|Ioi$%sS zg2v(GHdn%>T%;e}rhC(h>=1X1HIQo45^@O5elt89k2N;aESLPIyr^6qx_F$Ba6pOW zni#wi_kOv&O7sT?3!v$H2dvpxIK zBhOsJyu}1L0Xg3K4B>&m5NHx1X<;BL0rf3`FagoV2nU%Ql|*Pvgnz;8rnJ#crb=)a z-ibWoF!2sb#6T3E1=A8l#ziYJ!oyc$MOp+b=?oeTiZEiL6aI}th+}cTe#4)X5d<%G zHECr$GDM^Q9Hrw+<6rj%whxBZx5t_8{@~f^^1!JX6rj7@xOtfgR~sxUqRKFJf=ucr z)rFmMa`tpo;cncz!k)$^B!?F(gddl~+mD-)Bf zWMc?@b@e*5Q4EM$}X(yB=}^4pPU#sE+{ z+nR>!a}7tgKFhE0V-=#>K~G>AHW}uTD5s?*xkj9$%TIc0MoJ0{Q-!c($^F%`I;eX$ z{E!w+l^2EIMPYFowI3iS6i(V5$G~5_tKitJu*=A&p8rC5`IVbxe2A5kVT(LU(Fq6)rBAEj4>&JS)PH6U*;CT;qWHZLMi<{D9B#LPF9GTJpw zJi!>#iT?xWU1fcU^{*}_9xg8{$jnsiW#29~Ex*AL)%z@?bsM3@`>W;teGh4Md&~`v z5m;jL@|!614vNAF#j8vozWpjC))G51OX;?p**A`+aT#i{H(skL_gC?so_{aQS9q z?M!5t+hnluKnBPOVk~<5!j4(mgje$jWp_?zCeeTn&+hs#xmR~M1MRkMY(R!G!5GV6OsGwIM zyeoiO_gT$?83Y>N(~Vy%`*`FzbVX2fn^yR11U7B>xQSJKF&>Lvl`f_LV5^d4 z$q0zN*D8y4mNF3*;Z-*gkp3F*b>VX;J9POh?Nyjm;QTIf5Wv4cI?X#G#BEg`!`821 zniFo*TjoY+n;gO2-Mvcb!#pbbv~SPn(QFeV9N#^(&O3W9F+r!NpE*lpsvDck<|U9p zPGKDwQQ*^PMtj&6GdH`Y=*>f-sF%hdXPwah@i7{#@U6WGgHRXJteWtU-qr?iTZCC%|P9`?FL z8suk+sebgY(XYaKR5ee${HAa#C(b}MT#Yj0vwZSy)yz!1e%DR#7zf&Qb^Z2t&Ahji zoC}cCBBUbR6Qpi6QJu!#Wv`}1URo0A&MMyI)MUB9V9x>@f2@Dx|-o4Yet=A1A^XV2`(lVxUhn%(E8V~Z=J-C>gj_fTfX>NQ4_&_YIwdbmem z62&2deFMW~bjFidO?);SS-wP$K_bvUhqwm-VUH?97rEy?_4xyG+Z|R?_0bhO!un{I z%?4l!T&CA5j>4K%9hu;_5IUZ{n~RY4NRbdFsL%l8A{UA;nP!<32YEurpuLCysQVhO z)hxr(F2NNx{F)}f&A3v5lg4C9{NhI@MEv+MMjPNsTUZ1UW=Mzb@E92j@fuGWt5rK? zi5*-9?P+6tXNwsgYb)${PVTqL8vE7d9j-!YPF$L`dO+iauV_a8=miMOQ%>;ZQOU?Epuivr6_@=iA=;fD+qBlmL!2E2?}9rG8>=8 z&u?CpH`*hc;g$$O>1=>2tQA|QzlV{3xW!rE6@IajU&+tpQFYu!(dhISjc(J^K#EQ;n-%xK+IL&)(UI|%w?y}rSV;U z1t5)opAXwve#1VhBHW!Tq5@STm^v_CO%)WCSI#=0rN*fe)$b7pN+L-9huerLpA~=z z+gFV4gT^KvLDm>{gpa+fH2gu@l)W7q|HBNP4x=pN%-*GAXL_c)jE;?#lgw^Bb9$s) zpd(?9DZ&>oJzh?oI!S3m>BUjmv$Lmg-&@8e7N{+3#~F}JA|Yd;T}8&VPgk})X_78= z5*YMEsYHULwRQrSj@mYqKD%|pj_0VRH3C`FSTU-+bazGfoLX99s*2&_uVSIX%ByJH zOOVvyMog-IE8=e8yX362U(*d22!g;Qr{tkCwmi zwzrnI-Tj90!b_hnUwHO+%fQwO>4k32H`%{R4=A1u*9_2TDSR*nhGk#BrQ>DbO| zkm)A9z3l1R2gAD8aloi;io8r9u*Sbk5r{}mC{TXbvpPqp4j8I&9szCKb?UM+GoyG{ zBk^3p(l@~!f-SIN2-|hO!BiY*pH+t{q=o@)IF~^xk1)G)_yXx0(&UTbSzdQR<0deP(kul;J z>tWL~#)DwQ^eM(8*c#@{%&9U2*UmpYT1F7c-Mx)+>-Ke&tAksn4O10aUS0sWP4;Al zCuOayJATwL190xpE|cU~4~^gi;NB!NFhE(rOy!Z5+P*L?3Z+*F544Iun?nn7xYOQr zdFf8GOAnZVdp^#VhxWj?!)hPy^`l$E_qk#g%7IVsUKOw8QDSeESoe8R6M|m9?~y4R zmPWtQP{VcVc1+2Ruly*i&=+0q+#M_GFhSr!=Bh2+zEVE3%wegFxv>I)4l|rmJ=PeH zhUhVNiK9Gf4tEO|KiG!s1^>=I8DbArvIDneR4{cw%xb=YcKQ?!$e`gE;qjwj>O$q& zMd7x&_9$`o_vz*|N`6cfbGPsdlL1yDR6rA*YM9Kxc>o_uq9DWI*yg8kYk)ikBP80Y zl3kI?uJ*~$WR`-z&7uys&xx%qgW>7UQo-t9Wr%6e$c)Nd-=hPBaHUiGsz6l`svpDA zc&|cG1wnhCBdvtv$aT#3C%3Xa;J#10D7MF3130-Q;dF6&?#gWx9>t(Bxwy6+N^tM~ ztq8}xbUO@W-=DirTZbMvdh7Z;1wc*^MnA(1J7iLuj0vr+c<@sSrnCrDlqTZAU-CF< ztfi&&ik}ML4l`Eu^b;81->z_<6Yo?uf(qVRwI3g65*bGxXk6EzJKcL}e6LmITFUwE zr3tJWO!af%hK9UXoYStU-H0g}rAGTG;oz@BG170CR_{Viacc?r$RiiZ1p98eFZG@? zLJ-IvdOJIPJ7t(Ebf*JGq{mDpGH<0c=%HGP`wga1ZEV@JfHu-%Em-%x=!G+U!&9zN zNTKiJjFXW;+BekKd!m75facUy5mIS(skd(Ju!ky*-yIc+b_i%ihl2CI`|R(dUh6!Rs6IUbHn$W z&xRSBlJlG_=*K=~Dg0rNhbU=7(4ljLj<9oQ&yc1p-3)_};V-q7{%xxL)B>1VV9%#? zWVk#_fN}-)$}y>CZX)r+ll-desYEfTkxW3LVC-HQ9Y8Y&&@LB9G$9J0GM)`p0D*xH zvZ`Q+shVY!XecYJtg_*6U?8h>+3t`e!XSZ~6l@7jw^f-ezKH~(vK^w2`h&3GSAmGt zTU2!_Z93_<2L^E5zDH19_baG}OvH`v36g@B3^875QDOWpqr6uIGHm^1u9ghdt}@qq zHM)y*iG_F(?l8TQ15}tdH}4|=WJnen;A5LInvKx8N2v;;;Jp(JV?GH%nj10+lJv$3 zFuV=2Mq-083XkC1W1ieLJ3K-h7a0S5oH;RDEkN62O&@wdSGTmLZIvPzU`1Gcm8(u8W={{(QU3@ z5_%-Sh6O;_X%sg1-qp`AbB;PpcTte{IBQ~qj*1O>^i9lR2e9vymX@=R3l@KGgNz-ThkE$DdvP(!{PxZvOh85 zj9GOcIH%~i2ktN>jPv-cu5Q7-O?LL*VsZW+Rp3#2;5zVPn){MFpEJ~8+s4v-hx+>9 z;Ds{XJ;`x>ImC|vdU5^WltSX~6tHzlBaaB_rVw?26<{qH2vt#eU+o6 z!b$QZ+soEQlpht@Qc~Qnu1D#zMKu$7DZro^`>zi%0?6Lpv!M@cWLpCR)+nO&% z5J#L5p3{V&A7G4B#X5)*sIMOjgh9Ln(Vm%_>qiCxnCigTM2FreXF;&@9pfJ01&HP> z=3LZ6=?`C~t9OwR<1MyM*=hvc6J!muf-KN7LWT;qOjIFcNbXAuaTwoqTXQ<^8kMg; zD{LyDZ3TtJ?MnTw-|&z7D4Aif@z=}rxvBFIhY7{*f_8nv^!fQsriO@1C4nw#&8(B8Rvv;Wx@FQ0ft(lm>J4L za6XuXo+Z3i@d_nG#f!fgVUp6Q^WT9JY6&|b=llL_~` zH*=N)7{XR4r`XYC6vcyJJ1qo6pZ3dxFGa4sR&3}s)?e=3*f0^H6w+nJ{&r;NM zhOs5T|fRGmCp`XFW-F*grmo{VP zL3fcqIeRur778PHlY&HPffALemeLaZfqhzMV0YAdeXYP&vRX*lwr!w0M$LTq8!|( z1pW+jLto+BkrHtzmst-zb0`lF4A9dHL49zDu23ctM3HVPElm#grx4Od={cJD@X!6? zZ+@QyyzdA9`uiD6|M`A2wZC(X1g>#eZKE1UQAdeM&z$v9!|f^O&v%%s#X1Y3%^WQO zO!WxXQe|XQE||aqfp43uh%dUkA|iJLyEDb~xa zVo0(yM3odOtllmy%ty7ywod70dsVroJLrwkc%D5iY;m)@TxHYpZsu{tIfs!9vMlgi zK~cJUZpXRofA|?@X*=vdN#^vD&cG-%jG_=j<;g(hD8?J*6y?5Jtw6RoZ(*Uhp-~G8C{M)7ETmb3qqVzI{w*9cR zwODRme+3>PsC2=b?uus>^2kWwW%%WL2)V*7Mx@UYRyXxhF?5qjKdK@4-7vgx66w*d zx>a%NA*|sRzM4rz3COqXaikhZ=E$euqdeNCIbd5Vc46r{ONsmhdB_PggQEyJyQPav zpucYt+3sh}9OI=2H=#j%fQQQhM;aWkr#eSzgb>@XvRcJ05?S_#VAdn$-+bu*VtZtM z;g|o%Ge7(Tf8%o5KK+rNZjQR^g;|6_vhZ(M<~o2QQlz>=wr4d#5Yhl5%27d4N4o4* z$Gu+aPtAEE*NLzUlSGqK8s78_)6sV~n8~~p$`mCB;2p)&L|1PSLB?xmg}r4ek9)%^ z02)CtKEu$?nb+Pc!~GsiBek_|FT+&cD8XQw@0Q3>GV#H!O|71UYUV|$xJM?m%aVyT2F7P+C+O9i zC#PgJE7%;6whoN#W$DBK8N?LJBdF}KCFcZ+kZXx{&?x37Q&qc*+dR7@jub?QoPmL4 zFu54qs3FkmA*@}-q2|l$O!;>Ur(vp?F{8S{xnk)3I4{J*L!Fcj0rBQZ*z!O-aSa}D zw_T&(aNC6IvsF{g@o>dnJdG~`6?>lLWAp+x&#~4EzcCq@u%k38%<$-Wkj|2s($lfW zlS~n;ds&_B?m4~P=StW7Ny5K_JLn@WjRi;c0q6!sX7}ikh6Te>pxymxM)3Zqp;zuQ zP5++MK!`rB-PT#UhHHDhPyQT!tRi7sDs#O&d#l>fAw6 z3WZanc8J6Axo)aYBaFu(3Utz-RVp9VtW9>l*xX^g5%pvj*E#cB`fYRhzP!9dRfK8= zyvUgc#ED-!ha8^Rj+rMjR9jfOLT{Q}u)%EU($&rC%km1jt8F5xG;G+Q(5*5)MTQM7 zwh^59U}p&%J1{k=iyp%>XCFf7qAxGfE8i-P;uQFRL9|7P?>Ju%ao3)|ie+r*04%h-86`gd=oL1Gc(34@uIzkFRR`9l^@nhQjyqAXAnFFSQ*S(EKj7f7m{k` zr%2NWx_b9M0#GQBv^1CgedbQI_Q*8?R6p>=!qnV_vh4#r{t@%<|KqP+rWE=pt*56E z83H5m5|0-Hb?n1Nu(nq9RM7ZF;{5K5)iA4RWWJ^u6F)(y-v#>U;w$dV8B^H|*`7Pv zN3;{>nGCBoD#Xz+r4)$zH)%7MPq3s#U#Ud(Ih81tPrEgQQaj@|yxJ?Izgkjd7*7_p`E1!CvX+>1y81(IJGDL-F z{{C&0hZ+2Ic`HYTS9ul;CymukOhLe8r)hXzGcYZMC99G0TV(i?@r@DZ>{&~@D2c>K zSqZngZ%QCCJ-O{333kIP)zV-`mv`fFjBRXUy7UiI0`>KOm|Dp*KlWok@zEOJGym>i zE$r`ZP3or_`ItsK!Z2s6c9A^nmG=Y22D-bpe;!xQG(O&N`_Qlc#z+6jk9>QUE__kn z3}A;37z^!-$93Ojc#(4MLA)RgCPQLD&hGukkGvG5tr$ z4i8cZQ!ye;F{f&%$VAnecL!smErgvVsSsH5b{JdyXaD@C-$+;nPrLEnbc~d;Resx@TGTfV2bYHTUbhb`qY8#o88@8<%1vikxzCFOnf7BE+A0PkON#sP%oPs z?XG{89t)}+@F7J={|Z$jSccaGYEB|p38FcLxqN=NB(hx;zN#kjmLY{B9Ad(ce)F=Z z?9hW@+s#w3wC^#;r7>XwRe-QVA!Np3PntmyYz)pd!ROIu*`bgp-s%Qrm3g>{IC(Jl zml4${Fq#O9yE&wPDy_YFrr61#E>z64i!HPfwz+nPy0&$72Zik}-J&YCPTg)~cnrTx z)1eBryv`VyakCI?#S@}B6Q#pglI<`{FZZ&rsyxmT6w_{onU^KgCTRdDIxHODif&|j z1Wl9!} znpHW|B@N=0r4La9k!`uC?T9zVs#VAg@)4yBc!hG{Pnl6{!f7Z-Sm9oUho^j$w|q+3 z14qM(Lr?7#lu_dorH+SV`p z+y@V?z3{(qcaJuayh<7*FBFK(Y-aZH(mQ+Vsj{~CB3hq<03G4D!x+)_&SkW4{2ON` zzJ+}fPD~_tvq)VCPA;5@#tS)1=ifj4S3?;ojB12W$=^6AagyxLYMf z$%S7_s_ZuB89m!aU{{Gkx_Vh`H{P>W=2`yWfVJ(vXm}H!v_x)ClvXcyXrH;Kf~E2x zRkolTfs4|RG;OZUQPRwlqtfFfnN9k4D1H{^uEfmtEugg_T}|yovHG~%!%jhrHSMk6 z$8VMluH{hZ@r9ldoQ8hE@Xf z5z>R5VQ2#veF+71>eN*_J3jug-=wO=U@IK~43{y0Y^MOF5ZTiD%Clwu*6`E6@Na+m z>G%Dk4|e?rlxb!0#?#kd_|3Al@>vGOx4U8;I&wCf{^r97cdS0*;rcH#Xlx#7HP;>C{X`Vd&z8?IU5@8a16=M)R|T zN4RNln=@HH^w6+Ya9nZ`pc)ngD3lU%SZ6mIli@O70x>%_s)HFSFv@|@<1zdOqZ)VN zK9r2lraPoWf_=}S=Lip_4jqhSLk+!8kptt%)sv3-Y*7VWSllQ#Zqr>%2f_f=gNccg zz+%td0zGKGCk+5Prm3p_Thzxp;CdT zmU`F55HKpOe1UBX^ViC2uia+`0!v@Ob!m_(NBt~n?4tB`npL@1mgjz(?&{~tzxb!` zIJo@zUnV1(V;pRO>h4ySK7?=DG=^{c{mi*{mZ#o|0$qIUFPAH?ytCZ8^6MErE_AFs zIqiPDn|V$f&z68zUpb$`)NE!(xVU3C8 z5=aQ8f*gQZO6iBo2=pevTrzWrg-|n$3%QTlB3Z*d`VXX4#)SMfr9;qVkPduEq1nG- z1;d0oU*o|a1OrEDNv0qvT9cP$7}alh;6;$MOGn&|M~iCT1fVVLl-LRjW#6`^O$Fq# z^jKa}-F*jdGJZ=K+cW(P@NI3d?<`f*ZhC^oncFovQ8<%^F$|_Q*$e2nmX}q(ezq<> z&BicqfBQRV++QsV3$H~|4`Rl=GfEiapbL6q{nfH^*^F6m&OZ6JKlu1B9o)P9iL$$S zvyvO~;fpiy2Z`i>so)0(j70>g21J|@V3t0cjBl(n1$>)nBj&~=2f~^}MyR?shcP!2 z1fNNS7w(+Is(m!j1&(LCd=o=d%7zYL_0j0-phV0?DEo{8uP}L1o*7g> zD;Y%?y3;Ct;^D|yFtvWttrsaibY|)`hT*qr4jo`opX*&WGRm82xB6jE8acM7FfbdB+cx zx4iv(9`TzswerMM-}~Xaw?Fsyp8xF6(}p$MHH?A~DNdpLZe{qE0{(wq@MSNaM&lg=|IjuMPL6L$7li^Ckj(nS}tc4S(P z(}>g__YFD();DN3vFv+}qX##)*(#XMi4E-Rjk3Y-*`9mMee1AT`YP)1j$+IkezGch#-; z>i>)HU+ky8>rRv5ST)R!9_5g4SZ`C3udLDBa9$k41-;IJQ~3SdT*|)B%0K@hQF~Z) z^`0tEy!D65U;K{uG5u)rvN44+jg6o9zB_kbqvo?&Ui|zo(PNQ|rh<&5B}ht*ByjAk zZYuoB!15X;5i9*{qNQ_68lF;d5-1aM*nlU1Xl!#=sD=l(Q6@*n89!nE*ACf6q=|2q zNRbSmaco_E5hdzIFq|^u3_U6)93 zsMEA_`NZ1}gl%R^kHoI0vAlt*qAQGtIlJciwHx#>trOlV;adVaBgE2fv)s9NQ;yK~ zVz>G`C?{JyTMD|pZJxCvzMxIy*+rbm%+3`A5vj7&w|CB+aP}q#scNdgt_>1lzp+q{ zf$c+MSiBgocd?fnhHn~=gKo)e+{c;V*y1YFxNKXc{c%p}Un9NV_-Q_8tWF1`&N86< zC>zZMTiuFa5hW5oY3eY=DVOv_aM3i6k`}KjQx`KqMy8%9Prd!`m$$y-`^wbx>7X9h zsX#uQJo#|fU6iPg^ERIQ>@UOUtN3hsK8&=v6l{&lUmdGjS#xcU#MrR6OS4Ib=}~I~ zItdXpK}sb{WpG~Iei@_BeVBQohM5XuB;*XZ4@C?H*~1n3PPq2C(Ecz^dJ{%R+1hB7 znl6CrXN;rACML&WbS|!ndNCnNgYIq6XI&T~gC-TuL0J-sk>NI!fjoG!wz@$Z!y^?a zEzFwm6JLDB2)4;gWw$xRhU$C&v`eRyhexQw4*-44P(MsJyoa^3dAI6Fh!CDSkm z2U$8mDIwF?tC8)-a)x-XV@I5=5rRjlHa)XR`^9~>$AU3yYfM6=JA0c=N@k{Jp_9$& z858PdG1(x?7WSARx%wan>b_ZC$O$#Vy10q*b#f$!J#~) zT0sPv(NR~1I{iid+uNzzVXz<98}9fK7X(6nnO?D%KVqGkt0<~mmd@ZoB&J=3$(adk z#!nk9yRWq~EUCx8cpHV`q1noYN*Ena+*Ni8U1qC0$BpVTer0b+q=6ti8ZmOz{n}f*5FyqF<#6W3G--ctt?q z`p`_8#H?dikgUYzS{cEzu@}8+bT>IR(?uO#;PkFC$aLFC4?R50EbrkEcoXm15POCM zH;Qgy<2j8e@=X#5NZZU2eKNp?M%-k2b>r&LaTv*S{z zgVkd*0+%^60iYB*U zSSSk5@v4((a5QE8`K4}6~;(Je9Q2JLq#D4 z3IopyvAJ6cv6%yZrjhRfpwGJJ#U%_=Yz+SmPkl>#GBnMt+gA_n-n&6tgMF?U)U!%x z+(%u&3b1izys0?pH)-Y7Vo&r#v?O;zE_CDfKgKsl`?| znkH10DHCFE9=UL+bGYEgQpPY3o!1YCcV#ZWQibOn`8$jrH>K{JUB8_>p9~3X}ps z5aKz432YfrzhHp^3qOhoew1SP-9o;*z47#a|4%hFB#H<$PS=#PHt#EIE&l8V-}2>fXx@P;bfmkW4oYzo1y z`Nx-Y_5Zd1B8B>6-5a0g1HbW~fBfL$#Xfek>7(K6QgW&@+3FJ=R*~5z5wlJrMYc6zJ;_@mCtA1H104c7JJ)fx>mpEoTN~m-|J#y)aYL zsrOrbagLaiOLw@>-D3|t8uAw|JX{`s?6I#js@7eLz*mjHn_huzSlAk(e}S%YCRuXq zq0{MyNAfHkcZspiyv)q%Wi~0?V$F(;(0$f;X#C!q7J;u90@=d!#qsj& z3k)2tF&o@%S?MXt$%@%qqY`OUme>`h>G6qozI`0D4L1vLp1)gUS`-bl3x`Jv zxaRpJXNR0Vb(+1PjwUhv`DS&S`WAr}ffj)lffj)lffj)lffj)lffj)lffj)lffj)l zffj)lffj)lfv*LDPyggUzShnK_*#%_{j>a2($>a2($>a2($>a2($>a2($>a z2($>a2($>a2($>a2($>a2($>a2($>a2($>a2($>iIT84d@!$Ge?OcF2CvRG+S_E1I zS_E1IS_E1IS_E1IS_E1IS_E1IS_E1IS_E1IS_E1IS_E1IS_E1IS_E1IS_E1IS_E1I zS_Hlp1lqX(UkhrjpB8}@ffj)lffj)lffj)lffj)lffj)lffj)lffj)lffj)lffj)l zffj)lffj)lffj)lffj)lffj)`F9PjcfHyC1TFP1kS_E1IS_E1IS_E1IS_J;Y2y_jc z*|_m14y6sCMW98XMW98XMW98XMW98XMW98XMW98XMW98XMW98XMW98XMc~gE0(-Cg z)<6Hh}m1)xY`f|Mpk@;RpX8 D3)QW; literal 0 HcmV?d00001 diff --git a/draft/overlay/audioplus.md b/draft/overlay/audioplus.md new file mode 100644 index 0000000..943e745 --- /dev/null +++ b/draft/overlay/audioplus.md @@ -0,0 +1,71 @@ + +#Audio + + + RaspiAudio enables your Raspberry Pi ( Pi 3, 2, B+, A+, and Zero) with a state of the art audio DAC at a reasonable price. + +Great for home audio sound sytem, internet radio, or any project with audio at a price that makes sense. + +1- DAC I2s 24-bit 96KHz audio with studio sampling quality + +2- Included dual RCA phono connector line out stereo (soldering required) + +3- Line out stereo jack 3.5mm + +4- Female header 2x20 (soldering required) Compatible with Raspberry Pi 3, 2, B+, A+, and Zero + + +-Insert your Rapsberry Pi Audio Shield in your Raspberry pI then turn it on + +-Make sure your audio jack is plugged in to your amplifier + +-Open a terminal (yes the black windows thing) and type: + + +```bash +sudo wget -O - script.raspiaudio.com | bash +``` +-say yes for the reboot +-If everything went fine you should here a test sound when tryping this command: + +```bash +sudo speaker-test -l5 -c2 -t wav +``` + + +-NEW! : On the next reboot you will be able to control the volume from your desktop or by using the line command tool alsamixer + + + diff --git a/draft/overlay/audioplus_speakerplus.md b/draft/overlay/audioplus_speakerplus.md new file mode 100644 index 0000000..57b6733 --- /dev/null +++ b/draft/overlay/audioplus_speakerplus.md @@ -0,0 +1,99 @@ + +#Audio+ Speaker+ +2X5W STEREO AMP FOR ONBOARD/EXTERNAL SPEAKERS + +-Any portable radio project where you need built in speaker + +-Power directly your old speaker + +-No extra power supply required + + + +INSTALLATION for Raspian users: + +-Insert your Rapsberry Pi Shield in your Raspberry pI then turn it on + +-Open a terminal (yes the black window thing) and type this to download the bash file and run it: + +```bash +sudo wget -O - script.raspiaudio.com | bash +``` + +-Say yes for the reboot + +-On the next reboot you have to run the test to finish the installation (it is an ALSA oddness): + +```bash +sudo speaker-test -l5 -c2 -t wav +``` + +On the next reboot you will be able to control the volume from your desktop or by using the line command tool alsamixer + + + +Features: + +(There is no microphone on this board, for microphone see our other model MIC+) + +2. 2x5w Stereo Amplification 2 onboard speaker included + +3. DAC I2s 24-bit audio with studio sampling quality + +4. Line out stereo 3.5mm jack + +5. Onboard red button, 1 status led + +6. Extention screw terminal for external speakers (2 to 8 ohm) + +7. Extention screw terminal for external push button, external led. + +8. Soldered Long female header 2x20 (NO soldering required) Compatible with Raspberry Pi 3, 2, B+, A+, and Zero + + + diff --git a/draft/overlay/audioplus_speakerplus_micplus.md b/draft/overlay/audioplus_speakerplus_micplus.md new file mode 100644 index 0000000..a74ce93 --- /dev/null +++ b/draft/overlay/audioplus_speakerplus_micplus.md @@ -0,0 +1,106 @@ + +#Audio+ Speaker+ Microphone+ +2X5W STEREO AMP FOR ONBOARD/EXTERNAL SPEAKERS + +-Experiment with the Google AYI assistant (100% pin to pin compatible). + +-Open source assitant such as ADRIAN, SNIPS project and others + +-Voice changer + +-Any portable radio project where you need built in speaker + +-Power directly your old speaker + +-No extra power supply required + + + + +INSTALLATION: +```bash +sudo wget -O mic mic.raspiaudio.com + +sudo bash mic +``` +-say yes for the reboot +-On the next reboot you have to run the test to finish the installation (it is an ALSA oddness): + +```bash +sudo wget -O test test.raspiaudio.com + +sudo bash test +``` +Push the onboard button, you should hear "Front Left" "front Right" then the recorded sequence by the microphone. If the volume is too low you can adjust the gain of the microphone by Reeboot and type: + +```bash +alsamixer +``` + + + +Features: + +1. Microphone I2S High sensitivity on board (could be separate from the main boad using the included cable) + +2. 2x5w Stereo Amplification 2 onboard speaker included + +3. DAC I2s 24-bit audio with studio sampling quality + +4. Line out stereo 3.5mm jack + +5. Onboard red button, 1 status led + +6. Extention screw terminal for external speakers (2 to 8 ohm) + +7. Extention screw terminal for external push button, external led. + +8. Soldered Long female header 2x20 (NO soldering required) Compatible with Raspberry Pi 3, 2, B+, A+, and Zero + + +